Sie sind auf Seite 1von 694

Springer-Lehrbuch

Ekbert Hering • Klaus Bressler • Jiirgen Gutekunst

Elektronik fiir Ingenieure


und Naturwissenschaftler

Unter Mitarbeit von


Horst-Herbert Austmann
Jiirgen Langner
Werner Laveure
Rolf Martin
Manfred Reichert
Johann Straufi
Werner Streib f

Fiinfte, aktualisierte Auflage


Mit 754 Abbildungen und 119 Tabellen

Springer
Professor Dr. Dr. Ekbert Hering
Fachhochschule Aalen
Beethovenstrafie i
73430 Aalen

Dipl.-Ing. Klaus Bressler


Paul-Linke-Weg 10
71254 Ditzingen

Dipl.-Ing. Jlirgen Gutekunst


Murrelektronik GmbH
Oppenweiler

Die vierte Auflage erschien unter dem Titel „Elektronik fur Ingenieure"

Bibliografische Information der Deutschen Bibliothek


Die Deutsche Bibliothek verzeichnet diese Publikation in der Deutschen Nationalbibliografie;
detaiUierte bibliografische Daten sind im Internet iiber http://dnb.ddb.de abrufbar.

ISBN-10 3-540-24309-7 Springer Berlin Heidelberg New York


ISBN-13 978-3-540-24309-0 Springer Berlin Heidelberg New York
ISBN 3-540-41738-9 4. Aufl. Springer-Verlag Berlin Heidelberg New York
Dieses Werk ist urheberrechtlich geschiitzt. Die dadurch begriindeten Rechte, insbesondere die der
tJbersetzung, des Nachdrucks, des Vortrags, der Entnahme von Abbildungen und Tabellen, der Funk-
sendung, der Mikroverfilmung oder der Vervielfaltigung auf anderen Wegen und der Speicherung in
Datenverarbeitungsanlagen, bleiben, auch bei nur auszugsweiser Verwertung, vorbehalten. Eine Ver-
vielfaltigung dieses Werkes oder von Teilen dieses Werkes ist auch im Einzelfall nur in den Grenzen
der gesetzlichen Bestimmungen des Urheberrechtsgesetzes der Bundesrepublik Deutschland vom 9.
September 1965 in der jeweils geltenden Fassung zulassig. Sie ist grundsatzlich vergiitungspflichtig.
Zuwiderhandlungen unterliegen den Strafbestimmungen des Urheberrechtsgesetzes.
Springer ist ein Unternehmen von Springer Science+Business Media
springer.de
© Springer-Verlag Berlin Heidelberg 1998, 2001,2005
Printed in Germany
Die Wiedergabe von Gebrauchsnamen, Handelsnamen, Warenbezeichnungen usw. in diesem Werk
berechtigt auch ohne besondere Kennzeichnung nicht zu der Annahme, dafi solche Namen im Sinne
der Warenzeichen- und Markenschutz-Gesetzgebung als frei zu betrachten waren und daher von
jedermann benutzt werden diirften.
SoUte in diesem Werk direkt oder indirekt auf Gesetze, Vorschriften oder Richtlinien (z. B. DIN, VDI,
VDE) Bezug genommen oder aus ihnen zitiert worden sein, so kann der Verlag keine Gewahr fiir
die Richtigkeit, Vollstandigkeit oder Aktualitat iibernehmen. Es empfiehlt sich, gegebenenfalls fiir
die eigenen Arbeiten die voUstandigen Vorschriften oder Richtlinien in der jeweils giiltigen Fassung
hinzuziehen.
Satz und Druck: Konrad Triltsch GmbH, Ochsenfurt
Herstellung: LE-TEK Jelonek, Schmidt & Vockler GbR, Leipzig
Einbandgestaltung: design & production GmbH, Heidelberg
Gedruckt auf saurefreiem Papier SPIN; 10921753 7/3141YL - 5 4 3 21 0
Vorwort zur fiinften, verbesserten und iiberarbeiteten Auflage

In nunmehr uber 10 Jahren hat sich dieses Werk einen Spitzenplatz als Lehrbuch an Universitaten und
Fachhochschulen und als Nachschlagewerk ftir den Praktiker erobert. Sein iiberzeugendes didakti-
sches Konzept, die klaren Strukturen und die aktuellen, praxisnahen Beispiele sind die Ursache. Nach
liber einer Dekade war es an der Zeit, dieses erfolgreiche Werk grlindlich zu uberarbeiten. So wurden
nicht nur Druckfehler korrigiert, sondem auch miBverstandliche Aussagen klarer formuliert und alle
Daten auf den aktuellen Stand gebracht. GroBere Umstellungen ergaben sich im Kapitel 9: Digital-
Analog- und Analog-Digital-Wandler sowie in Abschnitt 17.6: Gesetzliche Vorschriften und Normen
ftir die Stromversorgungen, speziell die elektromagnetische Vertraglichkeit (EMV).
Die zahlreichen Hinweise der Studierenden, der Praktiker und der Kollegen haben dieses Buch we-
sentlich verbessert. Dieser fachkundigen Leserschaft haben wir, die Autoren und der Springer-Verlag,
sehr zu danken. Stellvertretend hierfiir gebtihrt unser besonderer Dank fiir die intensive Mitarbeit
Herm Prof. Dr. H. Graf von der Fachhochschule Mlinchen und Herm Dr.-Ing. R. Sufie von der Tech-
nischen Universitat Ilmenau.
Wir hoffen, da6 ftir unsere Leser die neue, verbesserte und Uberarbeitete Auflage eine noch groBere
Hilfe bei der Erlernung und der Umsetzung der Elektronik sein wird. Es wiirde uns sehr freuen, wenn
die Leser uns auch weiterhin mit Verbesserungsvorschlagen und konstruktiver Kritik unterstutzen wiir-
den.

Heubach, Ditzingen, Nlirtingen Ekbert Hering


Friihjahr 2005 Klaus Bressler
Jurgen Gutekunst

Vorwort
Die Elektronik hat sich in den vergangenen Jahrzehnten in einem fur die Technik auBergewohnH-
chen Innovationstempo weiterentwickelt: Elektronenrohre - Halbleiter - Transistor - integrierte
Schaltung - hochintegrierte Schaltung mit mehreren hunderttausend Transistorfunktionen bis
zum Megabitspeicher. Der Ingenieur wird auf alien Gebieten - sei es im Maschinenbau, in der
Verfahrenstechnik, in der Fertigungs- oder Feinwerktechnik - zunehmend vor besondere, mit
Elektronik zusammenhangende Aufgaben gestellt. Deshalb wird von ihm verlangt, die Neuerun-
gen dieser Technologic zu iiberbHcken, nachzuvoUziehen und fur seine Tatigkeit nutzbringend
einzusetzen. Es ist das zentrale Anliegen der Verfasser, mit dem vorHegenden Werk den Ingenieu-
ren aller Fachbereiche ein solides, praxisnahes Grund- und Anwendungswissen zu vermitteln.
Dieses Lehrbuch umfaBt, in sich geschlossen, alle bedeutenden Gebiete der Elektronik und wendet
sich nicht nur an Studenten, sondern auch an die Ingenieure in der Praxis, deren Arbeitswelt
standig von immer mehr Elektronikanwendungen durchdrungen wird. In diesem Sinn soil das
vorliegende Werk auch einen wichtigen Beitrag zur beruflichen Fort- und Weiterbildung leisten.
VI Vorwort

Zu den im ersten Abschnitt beschriebenen Grundlagen der Elektronik, die fur die nachfolgenden
Themenbereiche unerlaBlich sind und somit einen groBeren Raum einnehmen, gehoren die elek-
trischen Netzwerke, komplexe Rechnungen in der Wechselstromlehre, nicht sinusformige Wechsel-
groBen, Grundlagen der Halbleiterphysik sowie die Herstellungstechnologien.
Darauf aufbauend werden die passiven und aktiven Bauelemente, die HF-Verstarker und die
Thyristoren beschrieben. Wegen ihrer groBen Bedeutung ist der Optoelektronik und den Sensoren
jeweils ein eigener Abschnitt gewidmet. Im AnschluB daran folgen die wichtigen Bereiche Digital-
Analog- und Analog-Digital-Wandler sowie ein Uberblick uber analoge Regelungstechnik.
Unverzichtbar ist die Digitaltechnik, die mehr als ein Viertel des Buchumfangs einnimmt. Zu-
nachst sind die Grundlagen, anschlieBend digitale Bauelemente und die Anwendungen digitaler
Schaltungen beschrieben. Der heutigen Bedeutung entsprechend sind auch die kundenspezifischen
Schaltkreise (ASIC), die speicherprogrammierbaren Steuerungen (SPS), Schnittstellen, Bus-
systeme und Netze ausfuhrlich erlautert.
Das Buch schlieBt mit den Losungen der Aufgaben und dem weiterfuhrenden Schrifttum.
Jeder Abschnitt ist in gleicher Weise gegliedert: Eine strukturierte Ubersicht zeigt die Zusammen-
hange auf, Beispiele verdeutlichen Rechnungen und Gedankengange, Diagramme und Fotos
geben Hinweise fur den praktischen Einsatz. Praxisbezogene Berechnungsbeispiele sowie Aufga-
ben mit Losungen verdeutlichen dem Leser die Sachverhalte und festigen das vermittelte Wissen.
Zu danken haben wir den Mitarbeitern aus zahlreichen Firmen, die uns Informationen und
aktuelles Bildmaterial zur Verfugung gestellt und unsere Manuskripte durchgesehen haben. Von
der Vielzahl der Heifer mochten wir, stellvertretend fur alle anderen, folgende Herren nennen:
Hafermass (AEG), F. Salzbacher (ANT), /. i/^m^Jor/(HL-Planartechnik), Dr. Cygon (Leitron),
A, Grundey und K.-D. Rommel (Philips Components), Dr. Schubert (Siemens Halbleiter), Dr.
Thums (Batelle). Wir danken der Firma Standard Elektrik Lorenz AG (SEL), insbesondere Herrn
Dr. Schmid von der Fachpressestelle, fur die Unterstutzung der Autoren.
Wahrend der Manuskripterstellung verstarb leider unser wesentlicher Mitgestalter des Werkes,
Herr Professor Werner Streib von der Fachhochschule Aalen. Es ist uns eine dankbare Verpflich-
tung, ihn an dieser Stelle und mit diesem Werk zu ehren.
Ganz besonderer Dank gebiihrt dem VDI-Verlag, speziell Herrn Dipl.-Ing. H. Kurt, der in bewahr-
ter Weise die Verlagsredaktion iibernahm und mit seiner Mitarbeiterin fur eine reibungslose
Abwicklung in erfreulicher Atmosphare sorgte. Nicht vergessen mochten wir unsere Ehefrauen
und Kinder, die uns mit viel Verstandnis bei der Arbeit begleitet haben.
Wir hoffen, daB dieses Werk den Ingenieurstudenten eine gute Hilfe bei der Erarbeitung des
Wissens uber Elektronik bietet und den Ingenieuren in der Praxis hilft, sich sehr schnell in die Welt
des Schrittmachers der Technik einzuarbeiten und die Kenntnisse in diesem Bereich fur ihre
Aufgaben erfolgreich umzusetzen. Gerne nehmen wir Kritik und Verbesserungsvorschlage ent-
gegen.

Heubach, Ditzingen, Nurtingen Ekbert Hering


November 1991 Klaus Bressler
Jurgen Gutekunst
Inhalt VII

Inhalt
1 Grundlagen der Elektrotechnik 1

1.1 Physikalische GroBen und Einheiten 1

1.2 Gmndbegriffe 1
1.2.1 Ladung 1
1.2.2 Elektrischer Strom 3
1.2.3 Elektrische Spannung 3
1.2.4 Widerstand und Leitwert 5
1.2.5 Elektrische Arbeit und elektrische Leistung 6
1.2.6 Ohmsches Gesetz 7
1.2.7 Richtungssinn 8
1.2.8 Bildzeichen 8

1.3 Elektrische Netze - Kirchhoffsche Regeln 9


1.3.1 Knotenregel (1. Kirchhoffsches Gesetz) 9
1.3.2 Maschenregel (2. Kirchhoffsches Gesetz) 10
1.3.3 Anwendung der Kirchhoffschen Gesetze 10
1.3.3.1 Reihenschaltung von Widerstanden 10
1.3.3.2 Parallelschaltung von Widerstanden 11
1.3.3.3 MeBbereichserweiterung 13
1.3.3.4 Ausgewahlte Mefianordnungen 14

1.4 Grafische Verfahren zur Ermittlung von Stromen und Spannungen 16


1.4.1 Reihenschaltung mit hnearem Widerstand und einem Kaltleiter (PTC) . . 16
1.4.2 Reihenschaltung mit Hnearem Widerstand und zwei nichtUnearen
Bauelementen (Z-Dioden) 17
1.4.3 Schaltungskombination aus Hnearem Widerstand, Kaltleiter und HeiBleiter 18

1.5 Maschen- und Knotenanalyse 19


1.5.1 Ersatzspannungs-und Ersatzstromquelle 20
1.5.1.1 Ersatzspannungsquelle 20
1.5.1.2 Ersatzstromquelle 21
1.5.1.3 Aquivalente Zweipole 21
1.5.2 Lineare Uberlagerung (Superpositionsprinzip nach HELMHOLTZ) 21
1.5.3 Berechnung elektrischer Netzwerke 22
1.5.3.1 Losung linearer Gleichungssysteme 22
1.5.3.2 Maschenstromanalyse (Kreisstromverfahren) 25
1.5.3.3 Knotenspannungsanalyse (Knotenpotentialanalyse) 26
1.5.3.4 Vergleich der Maschenstrom- und Knotenspannungsanalyse 28
1.5.4 Briickenschaltungen 28
1.5.4.1 Berechnung mit der Maschenanalyse 28
1.5.4.2 Berechnung mit der Methode der Ersatzspannungsquelle 29

1.6 Grundlagen der Wechselstromlehre 30


1.6.1 Grundlagen komplexer Rechnung 30
1.6.1.1 Reelle, imaginare und komplexe Zahlen 30
1.6.1.2 Rechnen mit komplexen Zahlen 32
1.6.2 KenngroBen 34
1.6.2.1 Wechselspannung und Wechselstrom 34
VIII Inhalt

1.6.2.2 Effektivwert und Halbschwingungsmittelwert 35


1.6.2.3 Scheitelfaktor (Crestfaktor) 36
1.6.2.4 Formfaktor 36
1.6.3 Komplexe Rechnung im Wechselstromkreis 36
1.6.3.1 Zeigerdarstellung komplexer GroBen 37
1.6.3.2 Ohmsches Gesetz 37
1.6.3.3 Verhalten der Bauelemente 39
1.6.3.4 Reihen-und Parallelschaltung 39
1.6.3.5 Aquivalente Umwandlungen 43
1.6.3.6 Zusammengesetzte Schaltungen 44
1.6.4 Nicht sinusformige WechselgroBen 45
1.6.5 Dampfung und Verstarkung 46
1.6.6 Shannonsches Abtasttheorem 49

1.7 Messung elektrischer GroBen 51

1.8 Grundlagen der Halbleiterphysik 51


1.8.1 Materialien 51
1.8.2 Energiebander 55
1.8.3 Ladungstragerkonzentration 57
1.8.3.1 Eigenleitung 57
1.8.3.2 Storstellenleitung 60
1.8.4 Beweglichkeit 62
1.8.5 Leitfahigkeit 63
1.8.6 Ausgleichsvorgange 64
1.8.6.1 Zeitverhalten 65
1.8.6.2 Raumliche Ausbreitung einer Storung 65
1.8.7 pn-Ubergang 67
1.8.7.1 Feld- und Potentialverlauf 67
1.8.7.2 Strom-Spannungs-Kennlinie 69

1.9 Herstellung kompletter Schaltungen 72


1.9.1 Leiterplatten 72
1.9.2 Streifenleiter 75
1.9.3 SMT (Surface Mounted Technology) 76
1.9.4 Dickschicht-Technologie 80
1.9.5 Diinnschicht-Technologie 83
1.9.6 Hybrid-Technologie 85

1.10 Bezeichnung elektrischer GroBen 86

2 Passive Bauelemente 87

2.1 Elektronische Bauelemente 87


2.1.1 Ubersicht 87
2.1.2 Anforderungen und Anwendungsklassen 87
2.1.3 Zuverlassigkeit 88
2.1.3.1 Ursachen eines Ausfalls 89
2.1.3.2 Mittlere Ausfallrate 89
2.1.3.3 Durchschnittliche Lebensdauer 91
2.1.3.4 Herstellgrenzqualitat 91
2.1.4 Normreihen 92
Inhalt IX

2.1.5 Klassifikation von diskreten Halbleiter-Bauelementen 92


2.1.6 Datenblatter 94

2.2 Widerstande 94
2.2.1 Ubersicht liber die Widerstande 95
2.2.2 Lineare Festwiderstande 95
2.2.2.1 Drahtwiderstande 99
2.2.2.2 Schichtwiderstande 100
2.2.2.3 Metallglasurwiderstande 100
2.2.3 Nichtlineare Widerstande 100
2.2.3.1 HeiBleiter (NTC-Widerstande) 101
2.2.3.2 Silicium-Widerstande 103
2.2.3.3 Kaltleiter (PTC-Widerstande) 104
2.2.3.4 Spannungsabhangige Widerstande (Varistoren, VDR) 104
2.2.3.5 Magnetfeldabhangige Widerstande (Feldplatten) 106
2.2.4 Einstellbare Widerstande (Potentiometer) 107

2.3 Kondensatoren 108


2.3.1 Ubersicht iiber die Kondensatoren 109
2.3.2 Kondensatoren mit diinnen Folien als Dielektrikum 113
2.3.2.1 Aufbau 113
2.3.2.2 Eigenschaften 113
2.3.2.3 Selbstheilende Kondensatoren (MP und MK) 116
2.3.2.4 Kondensatoren fur die Leistungselektronik 116
2.3.3 Elektrolyt-Kondensatoren 118
2.3.4 Keramik-Kondensatoren 121
2.3.4.1 Werkstoffe und Einteilung 121
2.3.4.2 Eigenschaften 121
2.3.4.3 Bauformen 121
2.3.5 Einstellbare Kondensatoren 124

2.4. Induktivitaten 125


2.4.1 Kerneigenschaften 127
2.4.1.1 Luftspulen 127
2.4.1.2 Induktivitaten mit Kern 127
2.4.1.3 Kernformen 127
2.4.1.4 Ersatzschaltbilder 127
2.4.1.5 Hysteresekurve 128
2.4.1.6 Ferrimagnetisches Material 129
2.4.1.7 Ferromagnetika 130
2.4.2 Wicklungseigenschaften 131
2.4.2.1 Zylinderwicklung (Solenoid) 131
2.4.2.2 Wicklungskapazitat 132
2.4.2.3 Scheibenwicklung 132
2.4.2.4 Ringkernspule (Toroid) 133
2.4.2.5 Induktivitat einer Zylinderspule 133

2.5 Dioden 134


2.5.1 Schaltdioden 135
2.5.2 Schottky-Dioden 138
2.5.3 Gleichrichterdioden 139
2.5.3.1 Netzgleichrichter 141
2.5.3.2 Schnelle Gleichrichterdioden 143
Inhalt

2.5.4 Schottky-Leistungsdioden 144


2.5.5 Z-Dioden 145
2.5.6 Diac-Triggerdioden 146
2.5.7 Fotodioden 147
2.5.8 Kapazitatsdioden 149
2.5.9 pin-Dioden 150
2.5.10 Step-Recovery-Dioden 153
2.5.11 Tunneldioden 154
2.5.12 Backwarddioden 155

3 Aktive Bauelemente 156

3.1. Transistoren 156


3.1.1 Arten von Transistoren und deren Aufbau 156
3.1.2 Beschaltung und Funktion des Transistors 158
3.1.3 Wichtige Kennwerte von Transistoren 159
3.1.3.1 Eingangswiderstand 159
3.1.3.2 Stromverstarkung 160
3.1.3.3 Ausgangsleitwert 162
3.1.3.4 Spannungsruckwirkung 162
3.1.3.5 /z-Parameter als Transistorkennwerte 163
3.1.3.6 Rauschen 163
3.1.4 Weitere Kennwerte 165
3.1.4.1 Reststrome 165
3.1.4.2 Sperrschichtkapazitaten 165
3.1.4.3 Transitfrequenz 166
3.1.4.4 Schaltzeiten 166
3.1.5 Transistor-Grenzwerte 166
3.1.5.1 Sperrspannungen 166
3 1 5 2 Strome 166
ui.:>.i Temperaturen 167
3.1.5.4 Verlustleistung 167
3.1.5.5 Erlaubter Arbeitsbereich 167
3.1.6 Typenschliissel fur Halbleiter 168
3.1.7 Transistordatenblatt 168

3.2 Analoge Grundschaltungen mit bipolaren Transistoren 173


3.2.1 Emitterschaltung 173
3.2.1.1 Emitterschaltung mit Stromgegenkopplung 175
3.2.1.2 Einstellung des Arbeitspunktes 177
3.2.1.3 Praktische Dimensionierung der Emitterschaltung 178
3.2.1.4 Emitterschaltung mit Spannungsgegenkopplung 180
3.2.1.5 Emitterschaltung bei hoheren Frequenzen 180
3.2.2 Kollektorschaltung 181
3.2.2.1 Bootstrapschaltung 182
3.2.3 Basisschaltung 183
3.2.4 Stromquelle 185
3.2.5 Differenzverstarker 185
3.2.5.1 Gleichtaktverstarkung 187
3.2.5.2 Gleichtaktunterdriickung 187
3.2.5.3 Korrektur der Offsetspannung 188
3.2.5.4 Gegenkopplung im Differenzverstarker 189
Inhalt XI

3.2.6 Darlingtonschaltung 189


3.2.7 Verstarker fur hohere Frequenzen 191
3.2.7.1 Grenzen der Verstarkung (Verstarkungs-Bandbreite-Produkt) 191
3.2.8 Kaskodeschaltung 192

3.3 Feldeffekttransistoren 194


3.3.1 Sperrschicht-Feldeffekttransistoren (JFET) 194
3.3.1.1 Kennlinien und Arbeitsbereiche des Feldeffekttransistors 195
3.3.1.2 Ohmscher Bereich 195
3.3.1.3 Triodenbereich 196
3.3.1.4 Abschniirbereich 196
3.3.1.5 Durchbmchbereich 196
3.3.2 MOS-Feldeffekttransistoren 196
3.3.2.1 Eingangswiderstand 197
3.3.2.2 Steilheit 198
3.3.2.3 Ausgangsleitwert 198
3.3.2.4 Spannungsriickwirkung 199
3.3.3 Weitere Kennwerte der Feldeffekttransistoren 199
3.3.3.1 7-Parameter als Kennwerte des Feldeffekttransistors 199
3.3.3.2 Rauschen 199
3.3.3.3 Reststrome 200
3.3.3.4 Temperaturverhalten 200
3.3.3.5 Grenzfrequenz 200
3.3.3.6 Schaltzeiten 201
3.3.4 Grenzwerte der Feldeffekttransistoren 201
3.3.4.1 Strome 201
3.3.4.2 Sperrspannungen 201
3.3.4.3 Temperaturen 201
3.3.4.4 Verlustleistung und erlaubter Arbeitsbereich 201

3.4 Schaltungstechnik mit Feldeffekttransistoren 202


3.4.1 Ubergang vom bipolaren Transistor zum Feldeffekttransistor 202
3.4.2 Grundschaltungen der Feldeffekttransistoren 202
3.4.3 Stabilisierung des Arbeitspunktes und der Verstarkung
durch Gegenkopplung 203
3.4.4 Wirkung der Gegenkopplung 204
3.4.5 Differenzverstarker mit Feldeffekttransistoren 205
3.4.6 Steuerbare Spannungsteiler mit Feldeffekttransistoren 205
3.4.7 Feldeffekttransistoren als Schalter fur analoge Signale 206
3.4.8 Dual-Gate-MOSFET(Doppelgate-MOSFET) 206
3.4.9 MOSFET-Leistungstransistoren fiir Schalter 207
3.4.10 MOSFET-Leistungstransistoren fur analoge Verstarker 210

3.5 Lineare und nichtlineare Verstarker 212


3.5.1 Wichtige Eigenschaften linearer Verstarker 212
3.5.2 Herleitung der Oberschwingungen und der Mischprodukte 212
3.5.3 MeBverfahren zur Beurteilung von Verstarkern 213
3.5.4 Nichtlineare Verstarker 213
3.5.5 Aufbau linearer Verstarker in der Praxis 213
3.5.6 Schaltungstechnische Besonderheiten gegengekoppelter Verstarker . . . . 215
3.5.7 VorsichtsmaBnahmen beim Umgang mit Halbleitern 216
XII Inhalt

4 Hochfrequenz (HF)-Verstarker 218

4.1 Anpassung und Reflexion 218

4.2 Transport der Hochfrequenz auf Leitungen 219

4.3 Wellenwiderstand einer Hochfrequenzleitung 220

4.4 Eingangs- und Ausgangswiderstande von HF-Transistoren 221


4.4.1 S-Parameter 222
4.4.2 Definition der S-Parameter 222
4.4.3 Messung der S-Parameter 223
4.5 Rauschparameter 224
4.5.1 Rauschfaktor 225
4.5.2 Rauschen bei mehrstufigen Verstarkern 225

4.6 Darstellung komplexer GroBen 226

4.7 Anwendung des Smith-Diagramms 228

5 Bauelemente der Leistungselektronik 232

5.1 Thyristor 232


5.1.1 Statische Kennlinien 232
5.1.2 Dynamische Kennlinien 235
5.1.3 Schutzbeschaltung 235
5.1.4 Kiihlung 236
5.1.5 Spannungssteuerung mit Thyristoren 237

5.2 Triac 238

5.3 Abschaltthyristor (GTO) 238

5.4 Insulated-Gate-Bipolar-Transistor (IGBT) 239

6 Optoelektronik 240

6.1 Einleitung 240

6.2 Radiometrische und fotometrische GroBen . 241


6.2.1 Radiometrische GroBen 241
6.2.2 Fotometrische GroBen 242
6.3 Halbleiter-Sender 244
6.3.1 Strahlungsemission aus Halbleitern 244
6.3.2 Lumineszenzdioden 245
6.3.3 Halbleiterlaser 253

6.4 Displays 259


6.4.1 Anthropotechnische Gesichtspunkte 259
6.4.2 Displaytypen 260
Inhalt XIII

6.4.3 Analoganzeigen 262


6.4.4 Numerische Anzeigen 263
6.4.5 Alphanumerische Anzeigen 265

6.5 Halbleiter-Detektoren 266


6.5.1 Strahlungsabsorption in Halbleitern 266
6.5.2 Giitekriterien von Detektoren 267
6.5.3 Fotowiderstand 268
6.5.4 Fotodiode 271
6.5.5 Solarzelle 279
6.5.6 Fototransistor 284
6.5.7 Fotothyristor 286
6.5.8 Bildsensoren 286

6.6 Optokoppler 290

6.7 Lichtwellenleiter 293

7 Sensoren 298

7.1 Grundlagen 298


7.1.1 Definition und Einteilung 298
7.1.2 Wirtschaftliche und technische Bedeutung 298

7.2 Sensoren fur die wichtigsten MeBgroBen 304


7.2.1 Weg- und Positions-Sensoren 304
7.2.2 Kraft- und Druck-Sensoren 308
7.2.3 Temperatur-Sensoren 308

7.3 Werkstoffe und Technologien 312


7.3.1 Siliciumtechnik 312
7.3.1.1 Vorteile von Silicium 312
7.3.1.2 Physikalische Effekte 312
7.3.2 Dunnschichttechnik 314
7.3.2.1 Verfahren 314
7.3.2.2 Anwendungen 314
7.3.3 Dickschichttechnik 315
7.3.4 Faseroptische Sensoren 316
7.3.4.1 Modulation der Lichtintensitat 316
7.3.4.2 Modulation der Wellenlange 316
7.3.4.3 Modulation der Polarisation 316
7.3.5 Chemische Sensoren 317
7.3.5.1 Elektrochemische Sensoren 317
7.3.5.2 Chemische Feldeffekttransistoren 317
7.3.5.3 Optochemische Sensoren (Optoden) 318

7.4 Bevorzugte Einsatzgebiete 319

8 Analoge integrierte Schaltungen 320

8.1 Herstellung und Technologie 320


XIV Inhalt

8.2 Operationsverstarker 324


8.2.1 Idealer und realer Operationsverstarker 325
8.2.2 Schaltungstechnischer Aufbau 325
8.2.2.1 Eingangsstufe als Differenzverstarker 328
8.2.2.2 Zweite Stufe als Spannungsverstarker 329
8.2.2.3 Endstufe als Stromverstarker 330
8.2.3 Beispiel eines Standardverstarkers 331
8.2.4 Operationsverstarker fur hohere Anforderungen 333
8.2.5 Stabilitatsbetrachtung 334

8.3 Operationsverstarker mit statischer Beschaltung 337


8.3.1 Invertierender Spannungsverstarker 340
8.3.2 Nicht invertierender Spannungsverstarker 341
8.3.3 Subtrahierverstarker 342
8.3.4 Schmitt-Trigger 344
8.3.5 Nichtlinearer Verstarker 345
8.3.6 Addierender Verstarker, invertierend 347
8.3.7 Addierender Verstarker, nicht invertierend 347
8.3.8 Konstantstromquellen 348
8.3.9 Idealer Einweggleichrichter 349
8.3.10 Zweiweggleichrichter ohne gemeinsames Potential 349
8.3.11 Zweiweggleichrichter mit gemeinsamem Potential 350
8.3.12 Spitzenwertgleichrichter 351
8.3.13 Logarithmierschaltung 351
8.3.14 Delogarithmierschaltung (Exponentialverstarker) 353
8.4 Operationsverstarker mit dynamischer Beschaltung 354
8.4.1 Integrator 356
8.4.2 Differenzierer 358
8.4.3 Filterschaltungen 360
8.4.3.1 TiefpaB l.Ordnung 360
8.4.3.2 TiefpaB 2. Ordnung 361
8.4.3.3 HochpaB l.Ordnung 364
8.4.3.4 HochpaB 2. Ordnung 365
8.4.3.5 BandpaB (selektives Filter) 365
8.4.3.6 Bandsperre 368
8.4.3.7 Filter hoherer Ordnung 369
8.5 Weitere wichtige integrierte Analogschaltungen 369
8.5.1 Komparatoren 369
8.5.2 Spannungsregler 370
8.5.3 Bandgap-Referenzelement 371

9 Digital-Analog- und Analog-Digital-Wandler 375

9.1 Digital-Analog-Wandler (DA-Wandler) 375


9.1.1 R-2R-Leiternetzwerk 375
9.1.2 Multiplizierender DA-Wandler 376
9.1.3 Vier-Quadranten multiplizierender DA-Wandler 378
9.1.4 DA-Wandler mit fester Referenzspannung 379
9.1.5 Datenwandler mit mikroprozessorkompatibler Schnittstelle 379
9.1.6 Unerwiinschte Spitzen beim Weiterzahlen des digitalen Eingangswertes . . 380
9.1.7 Fehler bei der Datenumsetzung 381
Inhalt XV

9.2 Analog-Digital-Wandler 383


9.2.1 Integrierende Analog-Digital-Wandler 383
9.2.2 Analog-Digital-Wandler nach dem Prinzip der sukzessiven Approximation 386
9.2.3 Abtast- und Halteschaltung (Sample and Hold) 388
9.2.4 Parallel-Analog-Digital-Wandler 389
9.2.5 Analog-Digital-Wandler nach dem Delta-Sigma-Verfahren 392

10 Analoge Regelungstechnik 393

10.1 Steuerung und Regelung 393

10.2 Beispiel einer elektronischen Regelung 393

10.3 Beispiel einer elektronisch-mechanischen Regelung 394

10.4 Grundsatzliche Betrachtung einer Regelung 395

10.5 Elemente des Regelkreises und ihre Eigenschaften 395

10.6 Vorgehen beim Entwurf einer stabilen Regelung 398


10.6.1 Aufbau 398
10.6.2 Stabilitatsbedingung .' 398
10.6.3 Beurteilung eines Regelkreises mit dem Bode-Diagramm 399
10.6.4 Einschwingverhalten 401
10.6.5 Verbleibende Abweichung 402
10.7 Zusammenfassung 403

11 Grundlagen der digitalen Schaltungstechnik 404

11.1 Zahlensysteme 404


11.1.1 Duales Zahlensystem 405
11.1.2 Hexadezimales Zahlensystem 406
11.1.3 BCD-Zahlensystem 409
11.1.4 Erweiterungen des binaren Zahlensystems 410
11.1.4.1 Negative Zahlen 410
11.1.4.2 Festkomma-und Gleitkommazahlen 411

11.2 Kodes 415


11.2.1 Gray-Kode 415
11.2.2 Fernschreibe-Kode 417
11.2.3 ASCII-Kode 418
11.2.4 Redundante Kodes 421
11.2.5 Fehlererkennende Kodes 421
11.2.6 Fehlerkorrigierende Kodes 422

11.3 Grundlagen der Booleschen Algebra 426


11.3.1 Binare Verknupfungen 426
11.3.2 Gesetze von Boole und De Morgan 429
11.3.2.1 Gesetze der Schaltalgebra 429
XVI Inhalt

11.3.2.2 Gesetze von De Morgan 429


11.3.3 Entwicklung einer Schaltung mit Hilfe der Booleschen Algebra 432

11.4 Minimierung nach Karnaugh-Veitch 434


11.4.1 Grundlagen 434
11.4.2 Karnaugh-Veitch-Diagramm fur drei Eingangsvariable 436
11.4.3 Karnaugh-Veitch-Diagramm fur vier Eingangsvariable 437
11.4.4 Karnaugh-Veitch-Diagramm fur funf Eingangsvariable 439
11.4.5 Karnaugh-Veitch-Diagramm fur sechs und mehr Eingangsvariable . . . . 440
11.4.6 Beispiele zur Karnaugh-Veitch-Minimierung 442

12 Digitale Bauelemente 446

12.1 Logikfamilien 447


12.1.1 TTL 450
12.1.2 FAST 454
12.1.3 CMOS 455
12.1.4 High-Speed-CMOS 458
12.1.5 ECL 461
12.1.6 Schaltzeichen und Gehauseformen 463

12.2 Speicherbauteile und Speicheraufbau 470


12.2.1 Fliichtige Speicher 471
12.2.2 Nicht fliichtige Speicher 473
12.2.3 Sonderformen von Speicherbauteilen 475
12.2.4 Aufbau groBer Speichersysteme 476

12.3 Mikrorechner 478


12.3.1 Mikroprozessoren 479
12.3.2 Single-Chip-Mikrocomputer 480
12.3.3 RISC-Computer 482
12.3.4 Transputer 483

13 Entwicklung digitaler Schaltungen 486

13.1 Entwicklungsphasen 486

13.2 Pulsfahrplan 490

13.3 Leitungen fur digitale Signale 493


13.3.1 Bandbegrenzung digitaler Signale 494
13.3.2 Reflexionen 495
13.3.2.1 Abgeschlossene Leitung 495
13.3.2.2 Offene Leitung 503
13.4. Storfreier Entwurf digitaler Schaltungen (Glitch-Free-Design) 506

13.5 Phase Locked Loop 509


13.5.1 Grundlagen 509
13.5.2 Digitaler PLL 511
13.5.3 TiefpaB l.Ordnung 517
Inhalt XVII

14 ASIC 521

14.1 Ubersicht 522


14.1.1 Digitale ASIC-Familien 522
14.1.2 AnalogeASIC 524

14.2 Programmierbare logische Bauteile (PLD) 527


14.2.1 Aufbau des PAL (Programmable Array Logic) 527
14.2.1.1 Eingangsschaltung des PAL 528
14.2.1.2 Verkniipfungen im AND-Array 529
14.2.1.3 Verkniipfungen im OR-Array 530
14.2.1.4 Ausgangsschaltungen 530
14.2.2 Realisierung einer Schaltung 531
14.2.3 Testen von PLD-Bauteilen 539

14.3 Digitale Gate-Arrays 540


14.3.1 Kanal-Gate-Array 540
14.3.2 Kanallose Gate-Arrays (Sea of Gates) 541
14.3.3 Programmierbare Gate-Arrays 543
14.3.3.1 Logic Cell Array (LCA) 543
14.3.3.2 Field Programmable Gate-Array (FPGA) 544

14.4 Standard-Zellen-ASIC 547


14.4.1 Aufbau der Standard-Zellen-ASIC 548
14.4.2 Elektronenstrahl-Direkt-Schreibverfahren 549
14.4.3 Standardisierte Kundenschaltkreise (Application Specific Standard Products,
ASSP) 550

15 Speicherprogrammierbare Steuerungen 552

15.1 Einfiihrung 552

15.2 Aufbau und Wirkungsweise 553

15.3 Programmierung speicherprogrammierbarer Steuerungen 555


15.3.1 Befehlsvorrat einer SPS 555
15.3.2 Arten der Programmdarstellung 555
15.4 Programmierung einfacher Steuerungsfunktionen 557
15.4.1 Steuerungen mit Verkniipfungsfunktionen 557
15.4.1.1 ODER-Funktion, UND-Funktion und Negation 557
15.4.1.2 Disjunktive und konjunktive Schaltfunktionen 558
15.4.2 Speicherfunktion 560
15.4.3 Auswertung von Signalflanken 560
15.4.4 Zeitgeberfunktion 561
15.4.5 Zahler 562
15.4.6 Realisierung von Ablaufsteuerungen 563

15.5 Programmierung mit Software-Bausteinen 565

15.6 Programmiereinrichtungen 566


XVIII Inhalt

16 Schnittstellen, Bussysteme und Netze 568

16.1 Einfuhrung 568

16.2 Grundbegriffe der Dateniibertragung 568


16.2.1 Arten der Verbindung, des Betriebs und der Ubertragung 569
16.2.2 Datenformate und Steuerzeichen bei serieller Ubertragung 570
16.2.3 Ubertragungssteuerung (Handshake) 571

16.3 Schnittstellen 571


16.3.1 Centronics-Schnittstelle 571
16.3.2 lEC-Bus 573
16.3.3 V.24-Schnittstelle 575
16.3.3.1 Mechanische Eigenschaften 575
16.3.3.2 Funktionale Eigenschaften 575
16.3.3.3 Elektrische Eigenschaften 576
16.3.3.4 Verbindungen und Fehlersuche 576
16.3.3.5 AnschluUmoglichkeiten 577
16.3.3.6 Funktionsuberpriifung 579
16.3.3.7 V.24-Schnittstelle in der Datenferniibertragung 579

16.4 Bussysteme 581


16.4.1 Parallele Bussysteme 581
16.4.2 Serielle Bussysteme 582

16.5 Netze 584


16.5.1 Einfuhrung 584
16.5.2 Global Area Networks (GAN) 584
16.5.3 Wide Area Networks (WAN) 585
16.5.4 Lokale Netze (LAN) 586
16.5.5 OSI-Modell mit sieben Schichten 587
16.5.5.1 Beschreibung 587
16.5.5.2 Schichten des OSI-Modells 589
16.5.6 SNA-Modell (System Network Architecture) 592
16.5.7 DNA-Modell (Digital Network Architecture) 593
16.5.8 Zugriffsverfahren bei Netzen 594
16.5.8.1 Kollisionsbehaftete Verfahren 594
16.5.8.2 Kollisionsfreie Verfahren 596
16.5.9 MAP- und TOP-Standards 600
16.5.9.1 MAP-Standard (Bitbus zur Fertigungssteuerung) 600
16.5.9.2 TOP-Standard 602
16.5.10 ISDN 603
16.5.10.1 ISDN-Konzept 603
16.5.10.2 ISDN-Konzept im OSI-Modell 604
16.5.10.3 ISDN-Dienste mit Bitraten bis zu 64 kBit/s 605
16.5.10.4 Kommunikation mit privaten Netzen (LAN) 605
16.5.11 Kopplung von Netzen 606
16.5.12 Planung von lokalen Netzen 608
16.5.12.1 Allgemeine Anforderungen 608
16.5.12.2 Einfuhrung eines hierarchischen Kommunikationskonzeptes 608
16.5.12.3 Einsatz von Lichtwellenleitern 609
16.5.12.4 Vorgehensweise bei der Planung von Netzen 610
Inhalt XIX

17 Stromversorgung 611

17.1 Arten der Spannungsquellen (Energiequellen) 612

17.2 Verschiedene Ausfuhrungen der Stromversorgung 613


17.2.1 Potentialtrennung 613
17.2.2 Transformator 613
17.2.3 Transformator-Netzteil 617
17.2.4 Gleichrichter-Schaltungen 617
17.2.5 Lineare Regler 620
17.3 Getaktete Stromversorgungen 625
17.3.1 Pulsbreitenmodulation 625
17.3.2 DurchfluBwandler 626
17.3.2.1 Tiefsetzsteller 626
17.3.2.2 Eintakt-FluBwandler mit Transformator 628
17.3.2.3 FluBwandler-Varianten 630
17.3.2.4 Transduktor (Sattigungsdrossel) 632
17.3.3 Sperrwandler 632
17.3.3.1 Hoch- und Tiefsetzsteller 633
17.3.3.2 Sperrwandler mit Transformator 633
17.3.3.3 Hochsetzsteller 633
17.3.4 Resonanzwandler 634
17.3.5 Eingangsfilter 635

17.4 Regelungstechnik 636


17.4.1 Fiihlerleitungen 636
17.4.2 Regelung eines FluBwandlers 637

17.5 Wirkungsgrad 638


17.5.1 Entwarmung (Warmeiibertragung) 638

17.6 Gesetzliche Vorschriften und Normen 639


17.6.1 Produkthaftung .639
17.6.2 Sicherheit 640
17.6.2.1 Elektrische Sicherheit 640
17.6.2.2 Brandschutz 642
17.6.3 Elektromagnetische Vertraglichkeit (EMV) 642
17.6.4 Netzriickwirkungen; Netzoberschwingungen 644

18 Losungen der Ubungsaufgaben 645

19 Weiterfuhrendes Schrifttum 658

20 Sachwortverzeichnis 661
1

1 Grundlagen der z. B. u\ Scheitelwert einer sinusfdrmigen


Wechselspannung.
Elektrotechnik - arithmetische Mittelwerte haben einen waag-
rechten Strich iiber dem Zeichen,
z. B. f, V\ arithmetischer Mittelwert des
Stroms oder der Spannung.
Dezimale Vielfache oder Teile davon sind durch
Dieser Abschnitt enthalt die elektrotechnischen
Grundlagen fur die nachfolgenden Abschnitte. die in Tabelle 1-2 zusammengestellten Vorsatze
Zunachst sind die physikalischen GroBen, ihre gekennzeichnet.
Formelzeichen und Einheiten zusammenge-
stellt, die Grundbegriffe erlautert sowie deren
Richtungssinn (Pfeilung) festgelegt. Im An- 1.2 Grundbegriffe
schluB daran werden die Kirchhoffschen Ge- 1.2.1 Ladung
setze in einem Stromkreis hergeleitet und Netz-
werke mit der Maschen- und Knotenanalyse Die grundlegende Eigenschaft, auf der alle Ge-
untersucht sowie graphische Verfahren zur Er- setze und technischen Anwendungen der Elek-
mittlung der Werte fiir Spannung und Strom bei trotechnik beruhen, ist das Vorhandensein einer
nichtlinearen Kennlinien vorgestellt. Der nach- elektrischen Ladung Q, die in der Einheit Cou-
ste Abschnitt erlautert die Grundlagen der lomb (C) oder Amperesekunden (As) gemessen
Wechselstromlehre; es folgt eine Zusammen- wird. Sie hat folgende wichtige Eigenschaften:
stellung der MeBgerate zur elektrischen Mes- - Elementarladung
sung elektrischer und nichtelektrischer GroBen. Die Ladung Q ist quantisiert, d. h., es gibt eine
SchlieBlich werden die Grundlagen der Halblei- kleinste elektrische Ladungseinheit, die Ele-
terphysik wiedergegeben und die Herstellungs- mentarladung e. Sie ist eine Naturkonstante
technologien kompletter Schaltungen beschrie- und hat den Wert
ben.
^= 1,602176462-10-^9 C. (1-1)

1.1 Physikalische GroBen Dies bedeutet, daB jede elektrische Ladung ein
und Einheiten Vielfaches der Elementarladung ist. Die La-
dungseinheit von 1 C entspricht deshalb etwa
Die wichtigsten physikalischen GroBen, ihre 6,24 10^^ Elektronen (negative Ladungstra-
Symbole und ihre Einheiten sind in Tabelle 1-1 ger).
in alphabetischer Reihenfolge zusammenge- - Positive und negative Ladungen
stellt. Ladungen konnen entweder positiv ( + ) oder
Fiir die Schreibweise von Formelzeichen in die- negativ ( —) sein. Da gleichnamige Ladungen
sem Buch gelten folgende Vereinbarungen: (+ und + bzw. — und —) sich abstoBen und
- Vektoren sind fett gedruckt, ungleichnamige (+ und — bzw. — und +) sich
z. B. E\ Elektrische Feldstarke. anziehen, konnen Krafte zwischen Ladungen
- Komplexe GroBen sind unterstrichen, und somit Bewegung von Ladungstragern er-
z. B. Z: Komplexer Widerstand. klart werden. Ferner ist der Zustand der La-
- Augenbhckswerte sind klein geschrieben, dungsneutrahtat defmiert; denn er liegt vor,
z. B. /: Augenblickswert eines Wechselstroms. wenn gleich viele positive wie negative La-
Alle anderen GroBen sind groB geschrieben. dungstrager vorhanden sind.
Deshalb gilt insbesondere: - Gebunden an Materie
- WechselgroBen und GleichgroBen sind groB Die Ladung ist nicht fiir sich allein vorhanden,
geschrieben, sondern an Materie gebunden. Die Materie
z. B. U: Gleichspannung bzw. Wechselspan- setzt sich - vereinfachend formuliert - aus ein-
nung. zelnen Atomen zusammen, die aus einem Atom-
- Amphtuden oder Scheitelwerte sind mit dem kern und einer Elektronenhulle bestehen. Im
Zeichen -^ versehen, Atomkern (Durchmesser etwa lO^^'^m) befin-
2 1 Grundlagen der Elektrotechnik

Tabelle 1-1. Physikalische GroBen und Einheiten in der Elektrotechnik.


Sym- physikalische GroBe Einheit Sym- physikaUsche GroBe Einheit
bol bol

A Flache ~^' r differentieller Widerstand Q

B magnetische FluBdichte, T = Wb/m^ r = ^U|M


Induktion = Vs/m2 t Zeit s
B Blindleitwert S T Periodendauer T=\/f s
n X T Temperatur K
R^ + X' U elektrische Spannung V
Co Vakuumlichtgeschwindig- m/s U,2 = ]Eds
keit 1
Co = 2,9979 • 10« m/s V Geschwindigkeit m/s
^0 ~ VA^O ^0 W Arbeit, Energie j = VAs = Ws
C elektrische Kapazitat F = As/V X BUndwiderstand Q
D elektrische FluBdichte C/m^ (Reaktanz)
(elektrische Verschiebungs- X = Im{Z}
dichte) Y Scheinleitwert 1/n
D = eE Y=\/¥T¥
e Elementarladung C Y komplexer Leitwert S
c = 1,60217733-10" ^^C (Admittanz) Y= G +j B
E elektrische Feldstarke V/m = N/C Z Scheinwiderstand Q
E=F/Q (Q: Probeladung) Z = ^R^ + X^
f Frequenz Hz z komplexer Widerstand Q
/ = 1/T (Impedanz) Z = R-\-jX
F Kraft N s Verlustwinkel °
G Wirkleitwert S = 1/Q (mho) £ Permittivitat s = BQB^ F/m = C/(Vm)
c R^ + X^
^ Bn
"0
elektrische Feldkonstante (As)/(Vm)
V ^// V /
Sf, = S,S54-10-'^As)/(\m)
h Plancksches Wirkungs- Js fir Permittivitatszahl -
quantum
/i = 6,6260755-10-3^ J s _
Wirkungsgrad
H magnetische Feldstarke A/m = N/Wb ^
S Temperatur °c
H=B/fi
^ Permeabilitat H/m
i elektrische Stromstarke A
fi = fiolir
i = dQ/dt
/^O magnetische Feldkonstante H/m
S Stromdichte A/m^ /io = 1,257-10"^ H/m
S=KE = E/Q
U=iSdA l^r Permeabihtatszahl -
A spezifischer elektrischer Q mm^/m
Q
J magnetische Polarisation T = Wb/m^ Widerstand Oder Qm
J=B-JIQH = Vs/m^ X spezifische elektrische Leit- 1/(Q m)
L Induktivitat H = Wb/A fahigkeit X = \/Q
= Vs/A 0 magnetischer FluB Wb = Vs
P Leistung W= VA = J/s o
(P Phasenverschiebungs-
Q elektrische Ladung C =As winkel
R Ohmscher Widerstand Q ^(P) Potential im Punkt P V
R = U/I CO Kreisfrequenz oj = 2%f 1/s
1.2 Grundbegriffe 3

Tabelle 1-2. Bezeichnung der dezimalen Viel-


fachen und Telle von Mafieinheiten. Eine Stromstarke / ist 1 Ampere, wenn die
durch zwei im Abstand von 1 Meter befindH-
Zehner- Vorsilbe Kurz- Beispiel chen geradlinigen, parallelen Leiter (Durch-
potenz zeichen messer null) flieBende Stromstarke je Meter
Leiterlange die Kraft 2 • 10"^Newton her-
JQ18 Exa E EV vorruft.
10^^ Peta P PV
1 10^2 Tera T TV Tabelle 1-3 zeigt die sieben BasisgroBen der
10^ Giga G GHz
10^ Mega M MQ
Physik.
10^ Kilo k kQ Da der elektrische Strom / die Ladungsmenge
10^ Hekto h hi dQ beschreibt, die im Zeitintervall dt flieBt, gilt
10^ Deka da daA
10-1 Dezi d dB / = dQIdt. (1-2)
10-2 Zenti c cm
10-' Milli m mA Aus dieser Gleichung kann fur zeitabhangige
10-^ Mikro 1^ |xA Strome I{t) die zwischen den Zeiten t^ und ^2
10-^ Nano n nA
10-12 Piko pF geflossene Ladungsmenge berechnet werden:
P
10-1^ Femto f fF
io-i« Atto a am
e = j/(f)dt, (1-3)

1st der Strom zeitlich konstant, dann flieBen pro


den sich Protonen (Trager der positiven Ele- Zeit immer gleich viele Ladungstrager, und die
mentarladung) sowie Neutronen (keine La- obigen Gleichungen vereinfachen sich zu
dung) und in der Atomhiille (Durchmesser von
10" ^^ m) kreisen die Elektronen (Trager der ne-
i = Qlt (1-4)
gativen Elementarladung). Die Massen der
Elektronen sind etwa 1840mal kleiner als die
der Protonen oder Neutronen. und
Ein Atom ist normalerweise nach auBen bin
elektrisch neutral, d.h., es besitzt gleich viele Q = It. (1-5)
positive Ladungen (Protonen) wie negative
(Elektronen). Ein elektrisch geladenes Atom Wird die abgeflossene Ladung dQ pro Zeit dt
oder ein Atomverbund wird Ion genannt. Ein und Flache A bestimmt, so errechnet sich die
positives Ion wird Kation (z. B. Fehlen eines Stromdichte S zu
Elektrons), ein negatives wird Anion genannt
(z. B. Anlagerung eines Elektrons). S = IIA. (1-6)
Eine besondere Atombindung ist die metalli-
sche Bindung. In Metallen sind die Elektronen
sehr leicht verschiebbar. Deshalb flieBen hier 1.2.3 Elektrische Spannung
negative Ladungen. Wie die Verhaltnisse bei
Halbleitern sind, wird in Abschn. 1.8 erlautert. Die elektrische Spannung U ist die Ursache des
Ladungstransports, d.h. des Stromflusses. Da
aber Ladungen nur flieBen werden, wenn unter-
schiedlich viele positive und negative Ladungs-
1.2.2 Elektrischer Strom trager vorhanden sind, miissen diese im neutra-
len Zustand getrennt werden. Deshalb ist die
Durch die Bewegung von Ladungstragern ent- Spannung U ein MaB fiir die Ladungstren-
steht ein Strom /, der in Ampere (A) gemessen nungsarbeit W je Ladung Q, und es gilt
wird. Das Ampere gehort zu den sieben Basis-
groBen der Physik und ist folgendermaBen fest- U = WIQ. (1-7)
gelegt:
4 1 Grundlagen der Elektrotechnik

Tabelle 1-3. BasisgroBen, Basiseinheiten und Definitionen im SI-MaBsystem.

BasisgroBe Basiseinheit Symbol Definition relative


Unsicherheit

Zeit Sekunde s 1 Sekunde ist das 9192631 770fache der lO-^'^


Periodendauer der dem Obergang zwischen
den beiden Hyperfeinstrukturniveaus des
Grundzustands von Atomen des Nuklids
^^^Cs entsprechenden Strahlung.

Lange Meter m 1 Meter ist die Lange der Strecke, die Licht 10-^^
im Vakuum wahrend der Dauer von
1/299 792458 Sekunden durchlauft.

Masse Kilogramm kg 1 Kilogramm ist die Masse des internationa- 10-^


len Kilogrammprototyps.

elektrische Ampere A 1 Ampere ist die Starke eines zeitlich unver- 10"^
Stromstarke anderlichen Stroms, der, durch zwei im
Vakuum parallel im Abstand von 1 Meter
voneinander angeordnete, geradlinige,
unendlich lange Leiter von vernachlassigbar
kleinem kreisformigem Querschnitt flieBend,
zwischen diesen Leitern je 1 Meter Leiterlange
die Kraft 2 • 10"^ Newton hervorruft.

Temperatur Kelvin K 1 Kelvin ist der 273,16te Teil der thermo- 10"^
dynamischen Temperatur des Tripelpunktes
des Wassers.

Lichtstarke Candela cd 1 Candela ist die Lichtstarke in einer be- 5-10-'


stimmten Richtung einer Strahlungsquelle,
die monochromatische Strahlung der Fre-
quenz 540 THz ausendet und deren Strahl-
starke in dieser Richtung 1/683 W/sr betragt.

Stoffmenge Mol mol 1 Mol ist die Stoffmenge eines Systems, das 10"^
aus ebensoviel Einzelteilchen besteht, wie
Atome in 12/1000 Kilogramm des Kohlen-
stoffnuklids ^^C enthalten sind.

Die Spannung hat die Einheit Volt (V). Die elektrische Feldstarke E ist ihrerseits be-
Da aber die elektrische Spannung die Ursache stimmt durch die Kraft F, die auf eine Probe-
fur die Kraft F ist, die im elektrischen Feld ladung Q einwirkt:
wirkt, gilt auch
E=FIQ. (1-9)

U,2 = JEds. (1-8) Wird Gl. (1-9) in Gl. (1-8) eingesetzt, so ergibt
sich
Damit ist die Spannung U^2 zwischen den U,,=]{Fds)IQ.
1
Punkten 1 und 2 definiert als das Linienintegral
liber die elektrische Feldstarke E entlang des Da der Ausdruck Fds der Arbeit dP^ entspricht,
Wegelementes d*. die fiir die Ladungstrennung aufgewandt wer-
1.2 Grundbegriffe 5

den muB, ergibt sich dU^2 = ^^/Q^ wie Gl. (1-7) Bild 1-2 zeigt die Pfeile und die Vorzeichenrege-
zeigt. lung fiir den Strom und die Spannung.
Bild 1-1 zeigt die elektrischen Feldlinien zwi-
schen zwei Polen (gestrichelte Linien) und die Strom /
zugehorigen Aquipotentiallinien (durchgezo-
gene Linien). Es ist zu sehen, daB die Spannung /=+5A /=-5A
zwischen dem Punkt P^ und O das Potential cp^ +
des Punktes P^ ist und die Spannung zwischen
P2 und O das Potential (p2 des Punktes P2, so Spannung U
daB die Spannung U^2 zwischen den Punkten (;= + 15V (y = -15V
Pi und P2 als Potentialdifferenz zwischen diesen
beiden Punkten interpretiert werden kann, und
es gilt

Ui2=^<P = ^l-<p2' (1-10)

(;i^= + i 5 V

Bild 1-2. Pfeile und Vorzeichen fur Strom und Span-


nung.

\J2A Widerstand und Leitwert


Der elektrische Widerstand R ist ein MaB fiir
die Hemmung des Ladungstransports (z. B.
Bild 1-1. Zusammenhang zwischen Potential und durch Fehler im Kristallaufbau oder durch
Spannung. Warmebewegung der Atomgitter) und ist fol-
gendermaBen defmiert:
Da die positiven Ladungen von Orten hoheren
Potentials zu solchen niedrigeren Potentials
flieBen, ist die Spannung positiv, wenn (^2 Der elektrische Widerstand R betragt
1 Ohm, wenn zwischen zwei Punkten eines
groBer als cp^ ist.
Leiters bei einer Spannung von 1 Volt ein
In der Zusammenfassung dieser Herleitungen Strom von genau 1 Ampere flieBt.
bedeutet dies: Sind positive und negative La-
dungen getrennt worden (die dazu notwendige
Energie W pro Ladung ist die zugehorige Span- Die Einheit ist 1 V/A = 1 Q (G. S. OHM, 1789 bis
nung), dann gibt es einen positiven Pol (posi- 1854), Durch den von K. v. KLITZING (geb.
tive! LadungsiiberschuB) und einen negativen 1943) entdeckten Quanten-Hall-Effekt laBt sich
Pol (negativer LadungsiiberschuB). Zwischen das Ohm unabhangig von der Geometric und
diesen Polen herrscht eine Spannung U. Wer- den Werkstoffeigenschaften allein durch Natur-
den diese Pole miteinander verbunden, so fmdet konstanten bestimmen (Genauigkeit 10~^Q).
ein Ladungstransport und damit ein StromfluB Es gilt namlich /z/^^ = 25 812,8 Q. {h ist das
statt, und die Ladungsunterschiede gleichen Plancksche Wirkungsquantum h = 6,6260755
sich aus. Fiir die Richtung des Stromflusses • 10" ^"^Js, und e ist die Elementarladung
wurde festgelegt: e = 1,602-10"^^ C)
Der Kehrwert des elektrischen Widerstandes R
Der Strom flieBt beim Verbraucher vom ist der Leitwert G:
Plus- zum Minus-Pol, bei Spannungsquellen
vom Minus- zum Plus-Pol. G=l/R. (1-11)
6 1 Grundlagen der Elektrotechnik

Die Einheit ist Siemens S {Q~^) oder im eng- Tabelle 1-4 gibt den spezifischen elektrischen
lischsprachigen Raum mho (Ohm „ruckwarts"). Widerstand Q, die elektrische Leitfahigkeit x
Die in Datenblattern zu fmdende Einheit mmho und den Temperaturkoeffizienten a ausgewahl-
bedeutet daher mS oder 10"^ S. ter Leiterwerkstoffe an.
Der elektrische Widerstand R eines metalh- Hinweis: Nichtmetallische Werkstoffe und Fliis-
schen Leiters von der Lange / und dem Quer- sigkeiten besitzen andere Temperaturabhangig-
schnitt A ist keiten der spezifischen elektrischen Widerstande
(Abschn. 2.2).
I
R = Q (1-12) 1.2.5 Elektrische Arbeit und elektrische
Leistung
Die ProportionaUtatskonstante Q ist der spezi- Um eine Ladung Q von einem Punkt P^ zu
fische elektrische Widerstand: einem Punkt P2 zu bewegen, zwischen denen die
Spannung U liegt, ist eine elektrische Arbeit W
RA erforderlich. Sie betragt
(1-13)
W=QU. (1-17)
Die gebrauchhchste Einheit ist (Q mm^)/m, die
den spezifischen elektrischen Widerstand eines Ist der Strom / (t) und die Spannung U (t) von
Leiters mit 1 mm^ Querschnitt und 1 m Lange der Zeit abhangig, so gilt unter Beriicksichti-
angibt. gungderGl.(l-3):e = j(/(t)dt
Der Kehrwert des spezifischen elektrischen Wi-
derstandes ist die elektrische Leitfahigkeit x\ W=^u{t)i{t)dt, (1-18)

_ 1 _ /
(1-14) Fiir den Spezialfall des Gleichstroms ergibt sich
Q RA
W=UIt. (1-19)
Die Einheit ist (Sm)/mm^.
Elektrischer Widerstand und spezifischer elek- Die Einheit ist 1 VAs = 1 Ws = 1 J. In der Praxis
trischer Widerstand (und selbstverstandlich wird haufig mit der Einheit kWh gerechnet. Es
auch Leitwert und elektrische Leitfahigkeit) gilt
sind temperaturabhangig. Beim metalHschen lkWh = 3,6-10^Ws
Leiter gelten naherungsweise folgende Bezie- (1 kWh = 860 kcal; 1 Ws = 0,239 cal).
hungen:
Da als Leistung P die Arbeit pro Zeit definiert
i^(5)»i^2o(l + a ( ^ - 2 0 ° C ) ) , wird, gilt
(1-15)
dW
(1-20)
i^2o bzw. ^20 ist der Widerstand bzw. der spezi-
fische elektrische Widerstand bei ^ = 20 °C, wo- Daraus laBt sich auch die Energie nach folgen-
bei ^ die Temperatur in °C und a der Tempera- der Gleichung berechnen:
turkoeffizient des spezifischen elektrischen Wi-
derstandes (bei 20 °C) ist.
W=^ P{t)dt. (1-21)
Der Temperaturkoeffizient a gibt an, welche re-
lative Widerstandsanderung l^RjR der Leiter
bei Anderung um AT = 1 K erfahrt: Fiir einen zeitHch konstanten Strom (Gleich-
Strom) ergibt sich mit Gl. (1-19)
^R A^
a = R^T (1-16) P = W/t = UI. (1-22)
QAT
1.2 Grundbegriffe 7

Tabelle 1-4. Spezifischer elektrischer Wider- Die Leistung P wird in 1 W = 1 J/s gemessen.
stand, elektrische Leitfahigkeit und Tempera- In vielen technischen Anwendungen wird elek-
turkoeffizient ausgewahlter Leiterwerkstoffe trische Energie in andere Energieformen (z. B.
(beiO°C). Warme) verwandelt. Das Verhaltnis von Nut-
zen in Form von abgegebener Leistung P^^,
Werkstoff spezifischer Spezifische Temperatur- (bzw. Arbeit W^^) zum Aufwand in Form von
elektrischer elektrische koeffizient a zugefuhrter Leistung P^u (bzw. Arbeit W^J ist
Wider- Leitfahig- inlO-*K-^
stand Q keit X in der Wirkungsgrad rj
in 10-2 (SmVmrn^
(Qmm^Vm

Aluminium 2,65 37,7 42,9


AlMgSi 3 32 36
Al-Bronze 13 32
(CU90AI10)
Blei 19 5,3 42 1.2.6 Ohmsches Gesetz
Bronze 18 5,6 5
CrAl 205 137 0,7 0,5 In einem metallischen Leiter nimmt der Strom
(Heizleiter- / proportional der angelegten Spannung U zu,
legierung) so daB das Ohmsche Gesetz gilt:
CrAl 305 144 0,7 0,1
(Heizleiter-
U = RI = I/G. (1-24)
legierung)
Dynamoblech 13 45
Eisen 8,9 11,2 65
Gold 2,04 49 40
Graphit 800 0,13 -2 500 ,200n .100 i7 ^ 5 0 n
GrauguB 80 1,2 19
Indium 8,4 11,9 49 > 400
Iridium 5,3 18,9 39 ^ 300 25^2!
Konstantan 50 2 0,1
Kupfer 1,56 64,1 43 i 200
Magnesium 4,6 22 38 1012_
cIlOO -//
Manganin 43 2,3 0,1
Messing 7 14,3 13 n —1—1 T 1 1 1 1 1 1 1
1 4 5 6 7 8 9 10 11
Monel 42 2,8 2 Stromstarke / / A
Neusilber 30 3,3 32
NieoCi 110 1 1,3 Bildl-3. U-I-Kennlinien linearer ohmscher Wider-
Nickel 6,84 14,6 68 stdnde R als Steigung der Geraden U = RI.
Nickelin 43 2,32
Palladium 10 10 38 Wie Bild 1-3 zeigt, ist die L/-/-Kennlinie des
Platin 10 10,2 39,2 Ohmschen Gesetzes (bei konstanter Tempera-
Platin-Iridium 32 3,1 tur) eine Gerade, deren Steigung der elektrische
Platin-Rhodium 20 5 Widerstand ist (an den eingezeichneten roten
Quecksilber 95 1 1 Kreuzen wird der Widerstand R errechnet, z. B.
Silber 1,51 66,2 41 2 5 n = 200V/8A).
Stahl 13 7 45
(0,1% C; Viele elektronische Bauelemente zeigen einen
0,5% Mn) nichtlinearen Zusammenhang zwischen Strom
Stahl 18 5,5 45 / und Spannung U. Typische Beispiele sind in
(0,25% C; Bild 1-4 zusammengestellt (dazu Abschn. 2 und
0,3% Si) Abschn. 3).
Tantal 16 6,2 35
Das Ohmsche Gesetz U = RI stellt als Kenn-
Wismut 120 0,8 45
Wolfram 4,9 20,4 48 Hnie eine Gerade dar, wobei der Widerstand die
Zink 5,5 18,2 42 konstante Steigung ist (Bild 1-3). Sind die
Zinn 10,4 9,6 46 Kennhnien, wie Bild 1-4 zeigt, keine Geraden,
so ist auch der Widerstand nicht konstant. In
8 1 Grundlagen der Elektrotechnik

linearer Vorzeichen berucksichtigt werden. Bei Indizie-


ohmscher GliJhlampe Gasentladungsrohre
Widerstand rung wird dringend empfohlen, daB der Pfeil
/ / vom Index 1 auf Index 2 zeigt. Doppelpfeile

L
1 1

mussen unter alien Umstanden vermieden wer-


den, da in solchen Fallen das Vorzeichen der
Spannung (z.B. bei der Anwendung der Ma-
schenregel, Abschn. 1.3.2) unbestimmt ist.
U U U
1.2.8 Bildzeichen
Halbleiter-Diode Transistor Thyristor
Die Bildzeichen der verschiedenen Telle eines
/ /

L
Stromkreises und die Symbole fur die unter-

1/
Bildl-4.
U
f-—-r^
1
UOE

Nichtlineare U-I-Kennlinien.
u
schiedlichen Bauelemente der Elektronik sind
in DIN 40100 genormt und in Bild 1-6 zusam-
mengestellt.

Bauteil
Widerstand
Schaltzeichen

diesen Fallen wird der differentielle Widerstand Widerstand (allgemein)


H 1-
r = AU/AI bestimmt, der fur jeden Punkt der
Kennlinie unterschiedlich sein kann (Bild 1-5).
veranderbarer Widerstand /
spannungsabhangiger
- ^
Widerstand (Varistor)
^ ^
HelBleiter
- ^ ^
Kaltleiter

^^
Fotowiderstand

Potentiometer
r-
-1 1-
Induk tivitat

Bildl-5. Nichtlineare Kennlinie und differentieller


Widerstand.
Induktivitat (allgennein) -mm-
Induktivitat mit Magnetkern
(Drossel) -^m-
1.2.7 Richtungssinn Induktivitat mit Magnetkern,
einstellbar
Die Regeln fur die Vorzeichen und Richtungen - ^
des elektrischen Stroms / und der elektrischen
Spannung U sind Ubereinkiinfte und in DIN
5489 (Richtungssinn und Vorzeichen in der
Elektrotechnik) genormt. Bereits in Abschn.
Einphasen-Transformator

Kapa z i t a t
]C
1.2.3 wurde in Bild 1-2 gezeigt, daB in positiver
1
Richtung die positiven Ladungstrager laufen Kondensator (allgemein)
1
(vom Plus- zum Minus-Pol). Der Bezugspfeil
fur den Strom / wird in die Stromleitung ge- veranderbare Kapazitat
/
zeichnet. Das bedeutet fur den Strompfeil, daB A
bei positivem Strom / der Minuspol an die einstellbare Kapazitat

Pfeilspitze anzulegen ist (Bild 1-2). AUe Gesetze gepolter Kondensator + 1


fiir die passiven Zweipole (z. B. das Ohmsche (z.B. Elektrolytkondensator) 1
Gesetz) gelten nur, wenn die Spannung U und
der Strom / die gleiche Richtung aufweisen; im Bild 1-6. Bildzeichen der Elektrotechnik nach DIN
anderen Fall muB das entsprechende negative 40100.
1.3 Elektrische Netze - Kirchhoffsche Regeln 9

Verarmungstyp (Depletion)

JE
Diode (allgemein)

Kapazitatsdiode JEi
N-Kanal P-Kanal
\^
Fotodiode ^
Leuchtdiode (LED)
-^
^/
G2-
G1 • .E
mit zweitem Tor (G2)
Z-Diode
- ^ Bildl-6. Bildzeichen der Elektrotechnik nach DIN
Zweirichtungsdiode (Diac) 40100 (Fortsetzung).
#
Thyristor (allgemein)
- ^ 1.3 Elektrische Netze -
Thyristor, Kathode gesteuert
- ^ Kirchhoffsche Regeln
Zweirichtungsthyristor (Triac) Werden verschiedene elektrische Bauteile, bei-
^ spielsweise Spannungsquellen und Widerstande
Transistor netzformig miteinander verbunden, dann ent-
bipolar
steht ein elektrisches Netz, wie Bild 1-7 zeigt.

NPN-Transistor
<
PNP-Transistor
<
Fototransistor
(NPN-Typ) Knoten Masche
<
Sperrschicht-Feldeffekt-Transistor (JFET)
^A^.
Sperrschicht- Feldeffekt-
Senke
A!
Transistor, N-Kanal I (Drain;
Tor— \ Quelle Bild 1-7. Elektrisches Netzwerk (Beispiel).
(Gate) (Source)
Sperrschicht- Feldeffekt-
Transistor, P-Kanal ^4= Dieses Netzwerk ist aus Knoten und Maschen
Isol ierschicht - Feldeffekt - Transistor (IGF ET) aufgebaut. Ein Knoten ist ein Punkt, von dem
Anreicherungstyp (Enhancement)
aus sich die Strome / verzweigen, und eine Ma-
sche beschreibt einen moghchen geschlossenen

Ji N-Kanal
Ji P-Kanal
Umlauf innerhalb des Netzwerkes. Die Kirch-
hoffschen Regeln (G. KIRCHHOFF, 1824 bis 1887)
beschreiben das Verhalten in den Knoten {Kno-
G: Gate (Tor); S: Source (Quelle); B: Bulk; tenregel) und in den Maschen {Maschenregel).
D: Drain (Senke)

SubstratanschluK 1.3.1 Knotenregel


(1. Kirchhoffsches Gesetz)
Ji
|l U

ohne '
Ji
herausgefijhrt ' intern verbunden
Da in einem Stromknoten keine Ladung entste-
hen Oder verschwinden kann (Gesetz der La-
gilt auch fijr Verarmungstyp dungserhaltung), miissen alle einem Stromkno-
ten zugefiihrten Ladungen ( + ) gleich den
Bildl-6. Bildzeichen der Elektrotechnik nach DIN abflieBenden Ladungen ( —) sein. Dies bedeutet
40100 (Fortsetzung). fiir die Strome in einem Knoten:
10 1 Grundlagen der Elektrotechnik

Die vorzeichenbehaftete Summe aller Stro-


me eines Stromknotens ist null:

(1-25)
i= l

Hierbei werden die dem Knoten zuflieBenden


Strome positiv und die abflieBenden negativ
eingesetzt, wie Bild 1-8 zeigt.

Bild 1-9. Maschenregel.

Es ist wichtig, nochmals darauf hinzuweisen,


daB der gewahlte Umlaufsinn (bzw. Zahlrich-
tung) durch die Masche beliebig ist (DIN 5489).
Die in Zahlrichtung zeigenden Spannungen
werden positiv, die gegen die Zahlrichtung ver-
Bild 1-8. Knotenregel. laufenden Spannungen sind negativ einzuset-
zen.
Nach Bild 1-8 gilt
Beispiel
^ 1 + ^ 2 - ^ 3 - ^ 4 - / 5 - ^ 6 = 0. (1-26) 1.3-2: Fur Bild 1-9 soil der Strom / bestimmt werden,
der durch die Masche flieBt.
Beispiel Losung:
1.3-1: Im Knoten nach Bild 1-8 wurden folgende Nach der Maschenregel gilt
Strome gemessen: /^ = 2A, /2 = — 3 A, / j = — 5 A,
/4 = 1 A, /g = - 2 A. Wie groB ist der Strom 1^1 t^Rl - ^ 2 + ^R2 + ^ 3 + ^R4 + ^R3 " ^ 1 = 0 .
Fiir die Spannungen (7R, die an den Widerstanden
Losung: abfallen, gilt das Ohmsche Gesetz U^ = R I, so daB
Nach Gl. (1-26) gilt fiir/^: geschrieben werden kann
/6 = /i + / 2 - / 3 - / 4 - / 5 - Mit den Werten ergibt R^I - U2 +R2I+U:^ +R^I + R^I - U^=0 .
sich/6 = 2A + ( - 3 A ) - ( - 5 A ) - l A - ( - 2 A ) = 5A. Nach Umformen und Ausklammern des Stroms / er-
halt man
1.3.2 Maschenregel
/ {R^ + ^2 + ^4 + ^3) = ^2 - ^3 + ^1 Oder
(2. Kirchhoffsches Gesetz)
^_ 1 / 2 - ^ 3 + ^1
Nach dem Energieerhaltungssatz muB beim
1?1 + 2^2 + ^4 + ^3
Transport einer elektrischen Ladung in einem
geschlossenen Stromkreis (Masche) die zuge- Anmerkung: Fiir dieses Beispiel kann auch, ohne die
fuhrte und die abgefuhrte elektrische Arbeit Maschenregel anzuwenden, nach dem Ohmschen Ge-
setz gerechnet werden:
gleich groB sein. Fur die elektrische Spannung
U als MaB dafiir gilt: / = Z ^ / i : ^ = (^l + ^ 2 - ^ 3 ) / ( ^ l + i ^ 2 + ^ 3 + ^ 4 ) -

Die vorzeichenbehaftete Summe aller Span- 1.3.3 Anwendung der Kirchhoffschen


nungen eines Stromkreises (Masche) ist null, Gesetze
(1-27) 1.3.3.1 Reihenschaltung von Widerstanden
i= l
Bild 1-10 zeigt die Reihenschaltung von n Wider-
Die Richtung des Maschendurchlaufs kann be- standen R^ bis R^. Da keine Knoten vorhanden
liebig gewahlt werden. Bild 1-9 zeigt ein Beispiel sind, kann keine Aufteilung des Stroms / erfol-
fur die Maschenregel. gen. Das bedeutet, daB bei einer Reihenschal-
1.3 Elektrische Netze - Kirchhoffsche Regeln 11

U,=U^, U^=U^^ U^=U^^ Ur.=U^

I
pn /?2 ^3 f^n Us=Uo 'KETLLiilh
-
\. V

^ges \e I -J
F^l <'
/ = konst Bild 1-11. Parallelschaltung und Gesam twiderstand.
Bild 1-10, Reihenschaltung und Gesamtwiderstand.
Das vorHegende Netzwerk hat zwei Knoten
und n Maschen. Es gilt die Knotenregel
tung der Strom / konstant bleibt, d. h. alle
Bauteile von demselben Strom / durchflossen / = / i + / 2 + /3 + ... + /„ (a)
werden. und die Maschenregel
Nach der Maschenregel gilt

^0 = ^ 3 ^ 3 , . .^0 = /n^n (b).


und unter Berucksichtigung des Ohmschen Ge- Werden die aus der Maschenregel berechneten
setzes ergibt sich Strome I^ bis I^ in die Knotenregel (Gleichung
(a)) eingesetzt, so ist
t/o = / i^l + / ^ 2 + ^ ^ 3 + • . . + ^ ^n .

/ = R, R, + R. + ..
Wird fiir i^^ + i^2 + ^ 3 + • + ^n = ^ges ge- R.
setzt, so ist UQ = IR^Q^. Das bedeutet: 1 1 1 1

^ges = ^ l + ^ 2 + ^ 3 + . . . + ^ n
n 1
(1-28) = Uo
R„

In einer Reihenschaltung ist der Gesamt- Da fur den Kehrwert des Widerstandes 1/R
widerstand die Summe der Teilwiderstande. auch der Leitwert G gesetzt werden kann, ergibt
sich auch
Da alle Widerstande vom gleichen Strom
durchflossen werden, gilt auBerdem
Wie man erkennt, laBt sich im Falle der Paral-
lelschaltung mit Leitwerten einfacher rechnen
^R2 ^ ^ 2 ^ 2 als mit Widerstanden. Fiir den Gesamtwider-
stand Kgeg bzw. den Gesamtleitwert G^^^ ergibt
allgemein ist sich

^ = ^ (m,^ = l,2,3,...,n). (1-29) 1 I l l 1


^k ^k
^ges ^1 ^ 2 ^ 3 ^n
Bei einer Reihenschaltung verhalten sich die
Teilspannungen wie die Teilwiderstande. (1-30)
i = 1 Ri

= G , + G2 + G3 + ...G„
^ges =

1.3.3.2 Parallelschaltung von Widerstanden n

- ZG,. (1-31)
Die Parallelschaltung von Widerstanden zeigt 1 = 1
Bild 1-11. Es ist erkennbar, dafi bei einer Paral- In einer Parallelschaltung ist der gesamte
lelschaltung die Spannung U konstant bleibt, Leitwert gleich der Summe der Teilleitwerte.
d. h. an alien Bauteilen dieselbe Spannung UQ
12 1 Grundlagen der Elektrotechnik

Aus der Tatsache, daB bei der Parallelschaltung schalteten Widerstanden R^ und R^ (Zusammenfas-
an jedem Bauteil dieselbe Spannung liegt, ergibt sung der Widerstande R^2^ ^2 + ^6 und K34).
sich Der Gesamtwiderstand der Schaltung ist nach Bild
l-13c

T,~U~G'2~G~2' V = ^ i + ^E (a).
Der Ersatzwiderstand R^ ist die Parallelschaltung
AUgemein gilt: des Widerstandes R^2 "lil ^^^ Widerstand
^ 2 + ^ 6 + ^34- Deshalb gilt
^ = ^ (m,fc = l , 2 , 3 , . . . , n ) . (1-32) ^12 (^2 + ^ 6 + ^34)
R. (b).
^12 + ^ 2 + ^ 6 + ^ 3 4
Bei einer Parallelschaltung verhalten sich
die Teilstrome wie die Teilleitwerte oder um- Der Ersatzwiderstand R12 ist die Parallelschaltung
der Widerstande {R^ + R^} und des Widerstandes R^.
gekehrt wie die Teilwiderstande. Deshalb gilt
(2^34-^4)^5 _ (3Q + 7Q)10Q
Fiir die Parallelschaltung von zwei und drei ^12 = = 5Q.
Widerstanden sind die Ergebnisse in Bild 1-12 R3 + R4 + R5 ~ 3Q + 7Q -h lOa
zusammengestellt. Fur den Ersatzwiderstand R34 gilt wegen der Paral-
lelschaltung der Widerstande R^ und R^
Parallelschaltung von zwei Widerstanden

Schaltung Formein R7 R^ 20Q-20Q


10 Q.
1^7 + ^8 20Q + 20Q

1'" ^^1 + ^2 Werden die Werte von Rj^2 ^^^ ^34 in Gl. (b) zur
H1 ^' 1h Widerstande glei(:h aroR
Errechnung von R^ eingesetzt, dann ergibt sich
flj 5Q(8Q-h2Q-hlOQ)
RE = ^ = 4Q.

Parallelschaltung von drei Widerstanden


Damit gilt nach Gl. (a) fiir den Gesamtwiderstand
Schaltung Formein

1 _ /?i/?2/?3 R.. R^ + i^g = 4Q-h4Q = 8 Q .


n ::i r n 1 H2 ' f' •\ ' ' 3 ' '>2 3
Nach dem Ohmschen Gesetz ist / = t/o/^ges =
fl. Widerstande gleich groK 24V/8Q = 3A.
,
"3 R - "
Am Knoten ® teilt sich der Strom / in die beiden
Teilstrome I^ und 12- Die Knotenregel sagt
Bild 1-12. Parallelschaltung von zwei bzw. drei Wi- I = I^-\-12, oder I^ = I - I2 (c).
derstanden. Nach Gl. (1-32) verhalten sich die Teilstrome wie die
Teilleitwerte (oder umgekehrt wie die Widerstande),
Beispiel so daB gilt
1.3-3: Gegeben sei die Schaltung gemaB Bild 1-13. h^ Ri2 ^ 3Q ^1 ^^
Die angelegte Spannung betragt U^ = 24 V. (Der In- h ^2 + ^6 + ^34 8Q + 2Q-hlOQ 4'
dex s steht fiir supply: Versorgung.) Berechnet werden
sollen der Gesamtstrom / und alle Strome /^ bis I^ in h=\k (d).
den Zweigen.
Werden Gl. (c) und Gl. (d) gleichgesetzt, dann ergibt
Losung: sich / - /2 = 1/2 Oder /2 = f / = 2,4 A.
In Gl. (d) eingesetzt, erhalt man I^ = 0,6 A.
Wie Bild 1-13 zeigt, kann man zusammengehorige
Widerstande zu Ersatzwiderstanden zusammenfas- Der Teilstrom 12 teilt sich entsprechend seiner Teil-
sen. In Teilbild b) sind die Widerstande K3, 1^4 und R^ leitwerte (Gl. (1-32)) in die Teilstrome 73 und I^ auf.
zum Ersatzwiderstand R^2^^^^ Widerstande R2 und Nach der Knotenregel gilt /2 = /3 + /4, d.h.
R^ zum Ersatzwiderstand 1^2 + ^6 ^^^ ^i^ Wider- h = h-h (e);
stande R^ und Kg zum Ersatzwiderstand 1^34 zusam-
mengefaBt. SchlieBlich zeigt Teilbild c) das verein- ferner ist IJI^ = ^5/(^3 + R^), d. h.
fachte Ersatzschaltbild mit den zwei in Reihe ge- h = hR,l{R^ + R,) (f).
1.3 Elektrische Netze - Kirchhoffsche Regeln 13

© /i Daten

'2f
J [^
^3
—^ *•
i; "\

-10 X
/?3
/?4
/?5
/?6
= 3^2
= 7 12
= ion
= 2n
/?7 = 20n
/?o = 20 n
®
L_. L
3:
®
3^
® /.

-l© -18
®

5//J y-/J. Schaltung zu Beispiel 1.3-3.

Durch Gleichsetzung der Gl. (e) und (f) erhalt man ein parallel geschalteter Widerstand R^ (Shunt,
Nebenwiderstand). Bild 1-14 zeigt die Schal-
7 2 - / 4 - / 4 ^ 5 / ( ^ 3 + ^4) Oder
tung zur MeBbereichserweiterung eines Strom-
^2 = ^ 4 ^ 5 / ( ^ 3 + ^ 4 ) + !). messers. Wird die neu zu messende Stromstarke
Damit ist
I, = I,/{R,/iR, + R^) + \)
= 0,6A/(10Q/(3Q + 7Q) + 1)
i.-i.
€>
/4 = 1,2A.
Nach Gl. (e) ergibt sich 1^ = 1,2 A.
Der Strom /^ teilt sich zu gleichen Teilen (well die
Widerstande R^ und R^ gleich sind) in I^ und /^ auf, ®
so daBgilt/5 = /6 = 0,3A.

1.3.3.3 MeBbereichserweiterung
Strommesser (Amperemeter)
Um die Stromstarke in einem Stromkreis mes- Bild 1-14. Mefibereichserweiterung eines Strommes-
sen zu konnen, muB der Strommesser im
Stromkreis [Hauptschlufi) liegen. Der Innenwi-
derstand R^ des Strommessers muB moglichst
klein sein, damit die voile Spannung U^ am mit /„ und die hochstmogliche Stromstarke
auBeren Widerstand R^ abfallen kann. Miissen durch das Amperemeter mit /^ bezeichnet, so
Strome gemessen werden, die den MeBbereich flieBt durch den Parallelwiderstand R^ die
des Strommessers iiberschreiten wiirden, so Stromstarke I^-I^. Da sich gemaB Gl. (1-32)
muB der liberschiissige Stromanteil am Ampe- bei der Parallelschaltung die Stromstarken um-
remeter vorbeigeleitet werden. Dies bezweckt gekehrt wie die Widerstande verhalten, gilt
14 1 Grundlagen der Elektrotechnik

Beispiel
/. 1.3-4: a) Der MeBbereich eines Amperemeters
(/, = 10 mA; R^ = 0,5 Q) soil auf 100 mA, 1 A, 10 A
Daraus laBt sich der parallelzuschaltende Wi- und 20 A und b) der MeBbereich eines Voltmeters
derstand R^ errechnen: ([/, = 100 mV; Kj = 100 Q) auf 1 V, 10 V, 100 V und
1 kV erweitert werden. Die entsprechenden Wider-
stande sind zu ermitteln.

Losung:
a) Mefibereichserweiterung des Amperemeters:
Nach Gl. (1-33) gilt im vorliegenden Fall
0,5 Q
Spannungsmesser (Voltmeter) ^n = •
-1
Um den Spannungsabfall in einem Stromkreis 10 mA
messen zu konnen, muB der Spannungsmesser Erweiterung auf
parallel zum zu messenden Spannungsabfall
(Nebenschlufi) liegen. Der Innenwiderstand R^ 0,5 Q
100 mA: R„ = -4 r = 0,055 Q;
des Spannungsmessers muB moglichst groB P 10-1
sein, damit moglichst wenig Strom durch das 0,5 Q
Voltmeter flieBt und der ganze Strom durch R^ lA: R^ = TT^^ r = 5,050 • 10-3 Q.
100-1
flieBen kann.
0,5 a
Mussen Spannungen gemessen werden, die den 10 A: R. 5,005-10-^ Q;
1000 - 1
MeBbereich des Spannungsmessers iiberschrei-
0,5 Q
ten, so muB der die Hochstspannung uberstei- 20 A: R„ = 2,501 10-^ a .
gende Teil der Spannung an einem Vorwider- ^ 2000 - 1
stand Ry abfallen, verdeutlicht in Bild 1-15. Die b) Mefibereichserweiterung des Voltmeters:
Nach Gl. (1-34) gilt im vorliegenden Fall

i?v = 1 0 0 Q f — ^ - 1
€>
I = konst
\0,1 V
Erweiterung auf
IV: Ry = 100 a • (10 - 1) = 900 Q;
10 V: Ry = 100 Q • (100 - 1) = 9900 Q;
100 V: Ry = 100 Q • (1000 - 1) = 99 900 Q;
—TAZK- 1 kV: Ry = 100 Q • (10000 - 1) = 999 900 Q.
Bild 1-15. Mefibereichserweiterung eines Spannungs-
messers. 1.3.3.4 Ausgewahlte MeBanordnungen
Wheatstonesche Briicke
neu zu messende Spannung wird mit [/„ und
Mit der Wheatstoneschen Briicke (C. WHEAT-
der hochstmogliche Spannungsabfall im Volt-
STONE, 1802 bis 1875) lassen sich ohmsche
meter mit U^ bezeichnet. Da sowohl der Vor-
Widerstande bestimmen. Bild 1-16 zeigt das
widerstand Ry als auch das Voltmeter von der-
Schaltschema der Wheatstoneschen Briicke.
selben Stromstarke / durchflossen werden, gilt
Der zu messende Widerstand J^^ wird zwischen
V^ die Klemmen C und B eingesteckt. Den Gleit-
/= R kontakt verschiebt man auf einem Wider-
R.
standsdraht zwischen A und B solange, bis liber
Daraus ergibt sich der Vorwiderstand die Briicke C D kein Strom mehr flieBt. (Punkt
D ist der Gleitkontakt.) Dann gilt die Maschen-
R, = R , | H = - l (1-34) regel (Gl. (1-27)) fiir
Masche ACD:
1.3 Elektrische Netze - Kirchhoffsche Regeln 15

1
fli fl,
y, '98!

r
^-4 u:

Bild 1-17. Potentiometerschaltung.

Bild 1-16. Wheatstonesche Brucke. Dies bedeutet, daB sich die Gesamtspannung
U^ im Verhaltnis des Teilwiderstandes zum Ge-
RJ,-RJ, =^ Oder RJ,=RJ,. (a)
samtwiderstand aufteilt.
Masche CBD: Im Belastungsfall flieBt durch R^ der Strom I^
und durch R2 nur noch die Stromstarke I — I^.
RJ^-R2l2 =0 Oder i^Ji=i^2^2- (b)
Da i^2 ^^^ ^a parallel geschaltet sind, ist der
Durch Division von (b) und (a) erhalt man Gesamtwiderstand

—= — ^2^a
R„ = ^—^.
' ^2+^a
Damit errechnet sich der gewiinschte Wider- Wird dieser in Gl. (1-36) eingesetzt, dann be-
stand zu tragt die Spannung U^
Rr.
R2 U^=U,
R=R (1-35)
Ri + R,
oder
Potentiometerschaltung
Mit Hilfe der Schaltung entsprechend Bild 1-17
u:^ = u, ^^ . (1-37)
wird eine Aufteilung der Gesamtspannung U^ ' R,R, + R,iR, + R^)
in kleinere Teilspannungen moglich (Span- Gl. (1-37) geht in Gl. (1-36) iiber, wenn R^R2 = 0
nungsteiler), indem ein Schleifkontakt den Ge- ist. Dies ist der Fall, wenn durch den auBeren
samtwiderstand R^^^ in die Anteile R^ und R2 Widerstand R^ kein Strom flieBt (oder wenn
aufteilt. Fiir die abgegriffene Spannung U^ ist es naherungsweise gilt R^ ^ ^2)-
entscheidend, ob der Spannungsteiler unbela-
stet (Bild 1-17 a) oder wegen des Stromflusses Beispiel
durch einen auBeren Widerstand R^ belastet ist 1.3-5: Eine Spannungsquelle mit Uj^=24Y ist an
(Bild l-17b). einem Gesamtwiderstand von 8 Q angeschlossen. An
Fiir den unbelasteten Fall gilt einem Teilwiderstand von R2 = 1 O. wird die Span-
nung U^ abgegriffen. Wie groB ist sie im unbelasteten
^1 und im belasteten Zustand, wenn der auBere Wider-
/ (a) und U, = R2l (b).
R,^R2 stand a) gering {R^ = 0,5 Q) bzw. wenn er b) hoch ist
(R, = 100 Q)?
Wird (a) in (b) eingesetzt, so ergibt sich fur die
gesuchte Teilspannung U^ Losung:
a) Geringer auBerer Widerstand R^ = 0,5 Q.
R.
u. = u. R1 + R2 (1-36) 1
Unbelasteter Zustand: L/, = 24 - V = 3 V,
16 1 Grundlagen der Elektrotechnik

1-0,5 Ohmschen Widerstandes als Gerade so einge-


belasteter Zustand: U'^ = 24 V = 1,09 V.
7 • 1 + 0,5 • 8 zeichnet, daB die beiden Achsenabschnitte be-
stimmt und miteinander verbunden werden.
b) Hoher auBerer Widerstand 1^^ = 100 Q.
Die Maschenregel lautet
Unbelasteter Zustand: unverandert L/^ = 3 V,
1100
belasteter Zustand: U' = 24 V = 2,97 V.
7•1+100•8 Aufgelost nach dem Strom /pjc ergibt sich
Der Wert der abgegriffenen Spannung U^ im belaste- 1 Us
ten Fall weicht bei einem groBen auBeren Widerstand ipxr —
kaum vom unbelasteten Fall ab (in diesem Beispiel R
lediglich um 1%). Aus dieser Geradengleichung {y = mx + b) las-
sen sich die Achsenschnittpunkte wie folgt be-
rechnen:
1.4 Grafische Verfahren
- Schnittpunkt mit der Stromachse /pjc-
zur Ermittlung von Stromen
und Spannungen Es gilt Upjc = 0- Daraus folgt /p^c = UJR,

Strome und Spannungen lassen sich fur Ohm- - Schnittpunkt mit der Spannungsachse Upj^:
sche Widerstande mit Hilfe der Knoten- und Hier ist /pjc = 0. Damit wird Upjc = U^ •
Maschenregel einfach ermitteln, da die Zusam- Das bedeutet: Die Arbeitsgerade schneidet die
menhange linear sind. Fiir den Fall, daB auch Stromachse / immer im Punkt U^/R und die
nichtlineare Bauelemente (z. B. HeiBleiter Spannungsachse im Punkt U^. Wird die Span-
(NTC), Kaltleiter (PTC) oder spannungsabhan- nung Us verandert, dann verschiebt sich die Ge-
gige Widerstande (VDR), Abschn. 2.3.3) in einer rade parallel; ihre Steigung andert sich nur mit
Schaltung vorkommen, werden die stationaren dem Widerstand R. Bild 1-19 zeigt die Kenn-
Strom- und Spannungswerte haufig graphisch linien des Ohmschen Widerstandes und des
ermittelt (andere Moglichkeiten sind Berech- Kaltleiters (PTC) sowie ihre Schnittpunkte.
nungen mit Naherungsgleichungen oder mit
iterativen Methoden). Im folgenden wird die Hinweis: Ist die Kennlinie des nichtlinearen Bauteils
Berechnung fur eine Reihenschaltung mit einem im doppelt logarithmischen MaBstab gegeben, dann
linearen Widerstand und einem Kaltleiter muB die Kennlinie in den linearen MaBstab iibertra-
(PTC), einem linearen und zwei nichtlinearen gen werden.
Bauelementen (Z-Dioden) und einer Schal-
tungskombination aus linearem Widerstand,
Kaltleiter (PTC) und HeiBleiter (NTC) durch- Fremderwarmung
gefiihrt. / I Eigenerwarmung

/-C/-Kennlinie des
1.4.1 Reihenschaltung mit linearem aktiven Zweipols
Widerstand und einem Kaltleiter (PTC)
Bild 1-18 zeigt die Schaltung. In die Kennlinie
des Kaltleiters {I = f{U)), die aus dem Daten-
blatt stammt, wird die lineare Kennlinie des

I=U

"-\e
Bild 1-19. Kennlinien des linearen Widerstandes un
+T des Kaltleiters (PTC).

Wie Bild 1-19 zeigt, ergeben sich drei Schnitt-


punkte, von denen jedoch nur A^ und A2 stabil
Bild 1-18. Reihenschaltung eines linearen Widerstan-
des und eines Kaltleiters (PTC). sind. Der Punkt Ai^stabji ist ein instabiler Ar-
1.4 Grafische Verfahren zur Ermittlung von Stromen und Spannungen 17

beitspunkt, der nur durchfahren, nicht aber ein-


gestellt werden kann. Die Arbeitspunkte A^ /R = /71

und A2 haben folgende Bedeutung:

e
U,= Uy

Arbeitspunkt A^ Us>0
z.
Er liegt im Bereich der Widerstandsanderung
durch Fremderwarmung, d. h. der Kaltleiter hat
die Temperatur der Umgebung; die Spannung
Upjci ist gering und der Strom relativ groB. Bildi-20. Schaltung mit linearem und zwei nicht-
linearen Widerstdnden (Z-Dioden).
Arbeitspunkt A2
hi
Die Temperatur des Kaltleiters wird erhoht, so
daB das Maximum der Kennlinie iiberschritten
wird. In diesem Bereich nimmt die Leistung im ^ ^
DurchlaR-
Kaltleiter (Ppy^ = ^PTC ' ^PTC) standig zu, so Bereich
daB eine Widerstandsanderung durch Eigen-
erwarmung einsetzt, die erst im Arbeitspunkt
A2 zu Ende ist. Die Spannung C/pTC2 ist stark
angestiegen, und der Strom hat sich verringert. Sperr-
Diese Temperaturabhangigkeit der Spannung Bereich

wird in der Praxis ausgenutzt, um Gerate mit


geringer Leistung vor Uberlastungen zu schiit-
zen (Abschn. 2.3). Bild 1-21. Kennlinie einer Z-Diode.

1.4.2 Reihenschaltung mit linearem i •''21=--f22


Widerstand und zwei nichtlinearen
Bauelementen (Z-Dioden) ^2 ^Ersatz

1 1 1
Bild 1-20 zeigt die Schaltung mit einem Hnearen ^ 1 1
und zwei nichtlinearen Widerstanden (gegen- 1 /
^ ^ L^

einander geschaltete Z-Dioden, Abschn. 2.3). / ^Z2

Die Kennlinie einer Z-Diode ist in Bild 1-21 /


gezeichnet. Die beiden Z-Dioden sind gegenein- /
V^S _
ander geschaltet (Bild 1-20). Bei einer positiven / 1 T ^
/ -1 u
Versorgungsspannung U^ ist deshalb die Diode \ / ^ a = ^ z r -t^Z2 t^R
Z^ in DurchlaBrichtung und die Diode Z2 in \ /
1
Sperrichtung betrieben. 1 /
Um den Arbeitspunkt ermitteln zu konnen, 1 1
1 1
geht man in zwei Schritten vor (Bild 1-22).
Bild 1-22. Kennlinien der Schaltung und Ermitteln
des Arbeitspunktes.
1. Schritt: Bestimmen der Ersatzkennlinie
Im ersten Schritt muB aus den zwei Einzelkenn-
Da die Diode Z2 in Sperrichtung betrieben
hnien der Dioden Z^ (gestrichelte rote Linie)
wird, sind die Zahlenwerte fiir die Spannung
und Z2 (gestrichelte schwarze Linie) eine Er-
Uj^2 negativ. Das bedeutet, daB die Betrage der
satzkennlinie (rote Linie) konstruiert werden.
Spannungen der beiden Z-Dioden fiir verschie-
Die beiden Z-Dioden werden vom gleichen
dene Strome addiert werden miissen. Fiir einen
Strom durchflossen, so daB nach der Maschen-
Wert des Stromes /^i ist dies im Punkt P in Bild
regel fiir die Spannung U^ an den beiden Di-
1-22 eingezeichnet. Wird dies fiir alle Punkte
oden gilt ausgefiihrt, dann ergibt sich die in Bild 1-22 rot
eingezeichnete Ersatzkennlinie.
u^ = u. v.
18 1 Grundlagen der Elektrotechnik

2. Schritt: Konstruktion der linearen Kennlinie tisierung (Abschn. 2.4.1.5) fiir Farbbildrohren
verwendet werden. Beim Einschalten sind der
Wie in Abschn. 1.4.1 gezeigt, schneidet der
Kaltleiter und der HeiBleiter kalt. Infolge des
Ohmsche Widerstand die Achsen in den Punk-
kleinen Widerstandswertes des PTC flieBt ein
ten UJR and U^- Der Schnittpunkt der Kenn-
hoher Strom durch die Parallelschaltung aus
linie des Ohmschen Widerstandes mit der Er-
NTC und R. Da der NTC einen hohen Wider-
satzkennlinie der Z-Dioden ergibt den gesuch- stand aufweist, flieBt der Strom hauptsachlich
ten Arbeitspunkt A, d. h. die Spannung U^ und durch R (Entmagnetisierungsspule). Bei Erwar-
den Strom IR = Izi = — ^zi- mung nimmt der Strom durch den PTC stark
ab, der Widerstand des NTC wird ebenfalls
1.4.3 Schaltungskombination aus linearem kleiner, so daB der Strom durch R noch starker
Widerstand, Kaltleiter und HeiBleiter abnimmt.
In Bild 1-23 ist die Schaltungskombination aus Zur Bestimmung des Arbeitspunktes geht man
Kaltleiter (PTC), HeiBleiter (NIC) und linea- wieder schrittweise vor (Bild 1-24):
rem Widerstand gezeichnet. Dabei ist der HeiB-
leiter und der lineare Widerstand R parallel ge- 1. Schritt: Bilden der Ersatzkennhnie von NTC
schaltet. Diese Schaltung kann zur Entmagne- undi^
In Bild 1-23 ist die Parallelschaltung des NTC
mit dem Widerstand R rot umrandet. Seine Er-
satzkennhnie erhalt man durch folgende Uber-
legung: Bei einer Parallelschaltung ist die Span-

-l©
n K
nung an beiden Bauelementen gleich. Deshalb
ergibt sich die Ersatzkennhrrie bei Paral-
lelschaltung durch die Addition der Strome
^NTc + ^R ^^^ verschiedene Werte fur U-Par
(Punkt P in Bild 1-24).
2. Schritt: Schnitt der Ersatzkennhnie mit der
PTC-Kennlinie
Bild 1-23. Schaltungskombination aus Kaltleiter
(PTC), Heifileiter (NTC) und linearem Widerstand. AnschlieBend wird die PTC-Kennlinie einge-

10
""^^^ y PTC-Kennlinie

7
- ^ - ^ ^ / " ^ ^ \
6
)
yp,,= 5 , 4 V - j
5 Ersatzkennllnie
. ^ ^ / ^ ( N T C parallel R)
4^ 1
^parr J / N T C / /
3
/ 1
/ //^P=-fNTC+-fR / 1 NTC-Kennlinie
2 1
1
1 1
1 1 1 1 ^
"0
7 \
10 20
\ \
30
\
40
1'
|50
'
60 70 80 IiMTC / f^A
•^PTC
= 48mA (/pTC / mA)
(•^PTC^ ' ^ N T C " ' " - ^ R '

Bild 1-24. Kennlinien und Arbeitspunkt des Beispiels 1.4-1.


1.5 Maschen- und Knotenanalyse 19

zeichnet. Der Schnittpunkt mit der Ersatzkenn- L5 Maschen- und Knotenanalyse


linie ergibt den Arbeitspunkt A.
Liegt ein Netz von Widerstanden, Spannungen
und Stromstarken vor, so ist es mit dem Ohm-
Beispiel schen Gesetz (zur Berechnung der Wider-
stande), mit der Knotenregel (zur Berechnung
1.4-1: Die Schaltung entspricht Bild 1-23. Die Versor- der Stromstarken) und der Maschenregel (zur
gungsspannung U^ betragt 10 V und der Widerstand Berechnung der Spannungen) moglich, ge-
R 400 Q. Die Kennlinie des NTC-Widerstandes ist in
suchte Widerstande, Stromstarken oder Span-
Bild 1-24 gegeben (Datenblatt). Der Kaltleiter (PTC)
hat eine Kennlinie, die durch folgende Werte be- nungen zu berechnen. Dazu dient die hier vor-
stimmt ist: gestellte Maschen- und Knotenanalyse. Fol-
gende Satze sind von allgemeiner Bedeutung:
L/py(^ in V 0 2 3 4 5 6 7 8
In einem Netz mit w Widerstanden gibt es w
IpjQ in m A 0 40 50 52 46 40 35 30 Gleichungen fiir das Ohmsche Gesetz.
In einem Netz mit k Knoten existieren
Bestimmt werden soil der Arbeitspunkt A, d. h. die (/c — 1) linear unabhangige Knotenregeln.
Spannung 17p^^^ und die Strome /pj^, ^R und I^^Q. Ein Netz mit m Maschen ist durch m linear
unabhangige Maschengleichungen zu be-
Losung: schreiben.
1. Schritt: Ersatzkennlinie von NTC und R Ein Netz mit k Knoten und m Maschen ist
deshalb mit p = k-\-m — l linear unabhan-
In Bild 1-24 ist /^TC ^Is Funktion von U^^Q aufgetra- gigen Gleichungen vollstandig beschrieben.
gen und die entsprechenden Kennlinien eingezeich-
net.
Fiir die Parallelschaltung bei einer bestimmten Span- Zur Berechnung der fehlenden GroBen sind li-
nung (z. B. C/pari = 4 V) gilt fiir die Strdme an einem neare Gleichungssysteme zu losen. Dazu gibt es
Punkt P: /p = /NTC + ^R- In Bild 1-24 wird die Addi- verschiedene mathematische Verfahren. Wir be-
tion der Strome (schwarz durchgezogene und schwarz schranken uns auf die Darstellungsform linea-
gestrichelte Kurve ergeben die rote Kurve) fiir den rer Gleichungssysteme mit Matrizen und Be-
Punkt P gezeigt. Wird fiir jeden Spannungswert dies rechnung der Losungen iiber das Aufstellen von
durchgefiihrt, dann ergibt sich die rote Kurve. Determinanten nach Cramer (G.CRAMER, 1704
bis 1752).
2. Schritt: Schnitt der Ersatzkennlinie mit der PTC-
Kennlinie Wird ein elektrisches Netz, bestehend aus Ohm-
schen Widerstanden und Spannungsquellen, an
Zunachst wird die PTC-Kennlinie eingezeichnet. Da-
einer beliebigen Stelle aufgetrennt, so entstehen
bei ist zu beachten, daB auf der y-Achse C/NTC = ^p^r
zwei AnschluBklemmen 0 und (2), und man
und nicht U^jc aufgetragen ist. Nach der Maschen-
spricht von einem Zweipol (Bild 1-25).
regel — C/s + ^PTC + ^Par = ^ 1^^^ sich L/p^^ berech-

Kennlinie (rot gestrichelte Linie in Bild 1-24) liegt also


bei U^ = 10 V. Die anderen Werte lassen sich entspre- —*^•y—

chend ausrechnen (z. B. fiir L/pj^ = 2 V ist 17NXC linearer


= 10 V - 2 V = 8 V; der zugehorige Strom ist Zweipol
/ = 40 mA). Auf diese Weise laBt sich die PTC-Kenn- cI>—
hnie (rot gestrichelte Linie in Bild 1-24) konstruieren.
Der Arbeitspunkt A ist der Schnittpunkt der Ersatz-
kennlinie (rote Linie in Bild 1-24) mit der PTC-Kenn-
Hnie (rot gestrichelte Kennlinie in Bild 1-24). Daraus Bild 1-25. Linearer Zweipol: a) Schema, b) Zusam-
ergibt sich Up^^ = 5,4 V und /pjc = 48 mA. menhang zwischen U^ ^ und I.
Fiir den Strom /R durch den Widerstand R gilt:
I^ = 5,4 V/400 Q = 13,5 mA. Damit errechnet sich fur Da die unzugangHchen Knoten und Maschen
den Strom durch den HeiBleiter /j^j^ = ^PTC ~ ^R des Zweipols durch lineare Gleichungssysteme
= 48 mA - 13,5 mA = 34,5 mA. beschrieben werden, muB auch die Abhangig-
20 1 Grundlagen der Elektrotechnik

keit des Stromes / von der Spannung U^2 zwi- unten), aus der folgt, daB die Quellenspannung
schen den beiden Polen linear sein, wie Bild (7q und der innere Widerstand R^ konstant sein
l-25b zeigt. Der Zusammenhang lautet allge- miissen. Wie aus Bild 1-26 weiter hervorgeht, ist
mein: U^2 = ^ i "" ^i^- der innere Widerstand die Steigung der t/12 — ^-
Kennlinie, so daB gilt
1.5.1 Ersatzspannungs- und
Ersatzstromquelle (1-39)
^0
1.5.1.1 Ersatzspannungsquelle
Eine ideale Spannungsquelle liefert eine Span- Dabei bedeuten U^ die Quellenspannung (Span-
nung C/g, die konstant, d.h. vom auBeren Wi- nung beim Strom / = 0, d. h. Leerlauf) und IQ
derstand R^ unabhangig ist. Die realen Span- die Stromstarke bei der Spannung 11^2'="^^
nungsquellen dagegen (z. B. Generatoren, Ak- d. h. im KurzschluB.
kumulatoren oder Batterien) besitzen einen in- Die beiden GroBen U^ und IQ konnen meist
neren Widerstand 1^^, an dem eine Spannung U^ nicht direkt gemessen werden, da beispielsweise
abfallt, die nach dem Ohmschen Gesetz im KurzschluBfall ein zu groBer KurzschluB-
t/i = Kj / von der Stromstarke / abhangt. In strom flieBen und die Bauelemente zerstoren
Bild 1-26 sind in der linken Spalte die Schaltung wiirde. Deshalb wahlt man zur Bestimmung
und darunter die Kennlinie gezeichnet. Fur die von R^ als Steigung der Kennlinie zwei beliebige
am Ausgang liegende Spannung U^2 &^^ Belastungsfalle aus, wie es Beispiel 1.5-1 zeigt.

(1-38) Beispiel
Uu U^-U,= U^-RJ,
1.5-1: Eine reale Spannungsquelle zeigt eine lineare
Dabei bedeuten U^2 ^i^ Klemmenspannung, Spannungs-Strom-Kennlinie nach Bild 1-27. Be-
stimmt werden soil der innere Widerstand R^, die
(7q die Quellenspannung und R^ der innere Wi- Quellenspannung U^ und der KurzschluBstrom /Q .
derstand. Es ist festzuhalten, daB die Quellen-
reale Spannungsquelle reale Stromquelle

Ersatz- Ersatz-
spannungsquelle stromquelle

3 4 5 6 7
Stromstarke// A

Bild 1-27. Kennlinie einer realen Spannungsquelle


nach Beispiel 1.5-1.

Die Messungen hatten folgendes Ergebnis:


lo I 0 /
U,,= U-IR., /=/0-^2Gi

Bild 1-26. Schaltung und Kennlinie der realen Span- /' =2 A, /" =6 A.
nungsquelle und Stromquelle. Da der innere Widerstand R^ die Steigung der Kenn-
linie ist, gilt
spannung JJ^ und der innere Widerstand R^
kunstlich eingefuhrt werden muBten, um die R; = ^I'l-t^lz 4V-8V = 1 Q .
Abhangigkeit (7 = f (/) richtig beschreiben zu /"-/' 6A-2A
konnen. In den meisten Fallen ist die Abhangig- Aus der Gleichung fiir die Kennlinie (Gl. (1-38)) er-
keit linear, so daB Gl. (1-38) gilt (Bild 1-26, links rechnet sich die Quellenspannung U :
1.5 Maschen- und Knotenanalyse 21

U[2 = ^q - ^i I' und damit nungsquelle U^ mit vorgeschaltetem Innenwi-


^q = l / ; 2 + ^ i ^ ' = 8V + l Q 2 A = 10V. derstand R^ Oder durch eine Ersatzstromquelle
(Urstromquelle IQ mit parallel geschaltetem In-
Fiir den KurzschluBstrom IQ gilt nach Gl. (1-39)
nenwiderstand JRi bzw. dessen Leitwert G-^ er-
IQ=UJR, = 10Y/1Q=10A.
setzt werden. (Auch andere Schaltungen im
Die in Bild 1-26 links oben angegebene Schal- Inneren sind moglich.) Wie aus Bild 1-26 er-
tung zeigt, daB die Klemmenspannung 11^2 sichtHch ist, sind die Spannungspfeile an der
richtig beschrieben wird, wenn sich im linearen Ersatzspannungsquelle entgegengesetzt zu den
Zweipol eine Ersatzspannungsquelle der Quel- Strompfeilen an der Ersatzstromquelle gerich-
lenspannung U^ mit einem vorgeschalteten In- tet, und es gelten folgende Umrechnungsbezie-
nenwiderstand R^ befmdet. Das bedeutet, daB hungen:
jede noch so komplizierte Schaltung durch die
R, = ^ (1-39) und G, = IjR, (1-11).
dargestellte Ersatzspannungsquelle ersetzt wer-
den kann. Mit dieser Methode konnen auch
Netzwerke berechnet werden (Abschn. 1.5.3). Hinweis: Auch wenn im Leerlauf die Klemmenspan-
nung 1/^2 uiid im KurzschluB der Klemmenstrom /
gleich sind, liegen zwar aquivalente Zweipole vor; sie
1.5.1.2 Ersatzstromquelle konnen aber vollig unterschiedliche Schaltungen auf-
weisen. Bei Zweipolen mit einer unterschiedlichen
Statt einer Ersatzspannungsquelle kann auch, Anzahl von Spannungsquellen (aktive Zweipole)
wie Bild 1-26 auf der rechten Seite zeigt, eine kann vor allem die Leistung im Inneren des Zweipols
Ersatzstromquelle definiert werden. Diese liefert sehr unterschiedlich sein (Bild 1-28).
fur die AnschluBklemmen ® und (2) den kon-
Leerlauf ( 7 = 0 ) KurzschluR {U^2^0)
stanten Strom / . Die Ersatzstromquelle besteht
aus einer Urstromquelle mit der Stromstarke IQ
und einem parallel geschalteten Innenwider-
stand i^i, durch den der Strom /j flieBt, so daB reale
Schaltung
gilt
I = ! , - ! , = I , - U,JR, = Io- C/i2 G,.
Hierbei ist G^ der Leitwert der Ersatzstrom-
quelle (Gj = 1/i^i). Damit ein moglichst kon-
stanter Strom / aus dem Zweipol flieBen kann,
®
wird der Leitwert Gj moglichst klein gehalten, Ersatz-
4n
d. h., der Innenwiderstand R^ ist moglichst groB Spannungs 3A
quelle
zu wahlen. In Bild 1-26 ist unten rechts die 12V
entsprechende Kennlinie abgebildet. Die rote
P = 36\N
Stromstarke / ist die aus dem Zweipol flieBende
Stromstarke. Die Steigung der Kurve ist wie-
derum der innere Widerstand R^, dessen Kehr-
® ®
wert der zugehorige Leitwert Gj ist. Die Berech-
nungen erfolgen entsprechend dem Beispiel
Ersatz-
strom-
iB % 12V 3A

1.5-1, lediglich mit dem Unterschied, daB der quelle 3A 3A

innere Widerstand sehr groB zu wahlen ist (z. B.


1 MQ), damit wenig Stromverlust innerhalb der
P=2Q\N />=0W @
Ersatzstromquelle auftritt.
Bild 1-28. Aquivalente aktive Zweipole und ihre Lei-
stung.
1.5.1.3 Aquivalente Zweipole
1.5.2 Lineare Uberlagerung
Zweipole, die hinsichthch ihrer Klemmenspan-
(Superpositionsprinzip nach H E L M H O L T Z )
nung U^2 ^ ^ ^ der Stromstarke / gleiche Werte
liefern, werden aquivalente Zweipole genannt. Zu Beginn dieses Abschnitts wird gezeigt, daB
Wie Bild 1-26 zeigt, kann der Zweipol entweder alle Gleichungen (das Ohmsche Gesetz, die
durch eine Ersatzspannungsquelle (Urspan- Knoten- und die Maschenregel) lineare Zusam-
22 1 Grundlagen der Elektrotechnik

menhdnge zwischen Stromen und Spannungen Richtung der Stromstarken) ergibt die gesuchte
beschreiben. Dies bedeutet, daB jede Strom- Stromstarke 12 (Bild 1-29 unten). Allgemein
starke linear von den Quellenspannungen des kann dieser Sachverhalt fiir die Stromstarke / ^
Netzes abhangt. Daraus ergibt sich der Satz der im Zweig m folgendermaBen formuliert werden:
linearen tJberlagerung:
^m "" ^1 ^01 + ^2 ^02 + ^3 ^ 0 3 +
Jede Stromstarke I^ in einem Stromzweig m + ... + KU0,,
errechnet sich aus der Summe aller durch
diesen Zweig flieBenden Teilstromstarken ••m — •'nil + ^m2 + ^m3 + • • • + Jmn • (1-40)
7^1 bis /^„, die durch die einzelnen Quellen-
spannungen verursacht werden. Zur Berechnung der einzelnen Teilstrome geht
man folgendermaBen vor:
An Hand von Bild 1-29 wird dies verdeut- 1. Teilstrom I^^^ berechnen:
licht. Soil die Stromstarke I2 durch den mitt- Alle Quellenspannungen bis auf eine werden
leren Zweig errechnet werden, so wird sie er- kurzgeschlossen und (unter Beriicksichtigung
zeugt durch die beiden Quellenspannungen der entsprechenden Innenwiderstande) der ent-
C/QI und (7o3- Deshalb gilt in diesem Fall sprechende Teilstrom I^^ errechnet.
2. Teilstrom 7^2 berechnen:
Alle Quellenspannungen bis auf eine zweite
werden kurzgeschlossen und der entsprechende
Teilstrom 7^2 errechnet.
Io=k,-Ur,,+k^-Ura (1-38)
3. Teilstrom 7^3 berechnen:
i i L§^U Alle Quellenspannungen bis auf eine dritte wer-
den kurzgeschlossen und der entsprechende
Teilstrom 7^3 errechnet.
Berechnung 1. Teilstrom / j * Berechnung 2. Teilstrom/j** Die Berechnung weiterer Teilstrome erfolgt
(KurzschluB UQ2= 0) (KurzschluBL/oi = 0) nach der gleichen Weise.
n. Teilstrom 7^„ berechnen:
Alle Quellenspannungen bis auf die letzte wer-
1? den kurzgeschlossen, und man berechnet den
entsprechenden Teilstrom 7^„.
Aus der Hnearen Uberlagerung der Teilstrome
7^1 bis I^„ (Gl. (1-40)) errechnet sich die ge-
1 ^ wiinschte Stromstarke 7^. Bild 1-29 zeigt die
Berechnungen fur die Stromstarke 72 im mittle-
Ua^
ren Zweig.
^1 + ^2 ^ 3 / ( ^ 2 + ^ 3 ) /?3+ /?i/?2/(/?i + /?2)

^3 /?1
1.5.3 Berechnung elektrischer Netzwerke
ir2 -Ua:
/?3(/?1+/?2) + /?i/?2 °^
Wie bereits mehrmals erwahnt, werden die
Spannungen, Strome und Widerstande in einem
/, = ir-i: /?1 (/?2+ /?3) + /?2'^3 Netzwerk durch hneare Gleichungssysteme be-
schrieben. Im nachsten Abschnitt wird deshalb
Bild 1-29. Beispiel zum Satz der linearen Uberlage-
die Losung linearer Gleichungssysteme herge-
rung. leitet und am Beispiel eines Netzwerks ausge-
fuhrt. Die folgenden Abschnitte beschreiben die
Zur Berechnung von / | = k^ I/QI wird die Span-
Maschen- und Knotenanalyse.
nungsquelle UQ^ kurzgeschlossen {UQ^= 0), und
zur Berechnung von / | * = k^ L/QS wird die
1.5.3.1 Losung linearer Gleichungssysteme
Spannungsquelle I/QI kurzgeschlossen. Die
Summe beider Beitrage (unter Beachtung der Stimmt die Anzahl der Unbekannten x^ bis x„
1.5 Maschen- und Knotenanalyse 23

mit der Anzahl der linear unabhangigen Glei- i?i 0


chungen liberein {n Gleichungen fur n Unbe- 0 R2
kannte), dann lassen sich die Unbekannten 1 -1
durch das in Bild 1-30 geschilderte Verfahren (d)
berechnen. Die Berechnung der Determinanten
wird nur fur drei Koeffizienten gezeigt. Bei einer Fiir die Stromstarken /^ bis 73 in den Zweigen resul-
groBeren Anzahl von Koeffizienten sei auf die tiert
mathematische Fachliteratur verwiesen. 0,3
h= D ^ D
(e) (0 (g)
Beispiel
Fiir die Determinanten erhalt man (Rechenverfahren
1.5-2: Fiir das in Bild 1-31 gezeigte Netzwerk sollen Bild 1-30):
die Teilstrome I^, I2 und I^ berechnet werden. Wie
groB muBte U2 gewahlt werden, damit /2 = 0 oder D — ~ Ri R2 — R^ R3 — R2
R3 (a),
/g = 0 wird? (Fur diesen Fall isiU, = 6Y, R^ = \kQ, Dn = - [ / l i ? 2 + C / 2 i ? 3 - t / i R^ (b).
i?2 = 2 kQ und R^ = 3 kQ.)
Du = U^R.-U.R. + U^ R3 (c),
0,3 = - l / , R i - I 7 , R , (d).
/1 lo

,^ IT ^
Damit errechnen sich die Strome zu

-B o ra 0 (3) h=
U^R2 +
R1R2 + R1R3 + R2R3
U,R,-U2R,-U2R3
U,R,-U2Ri
(e),

h- (0,
Rj Rj + ^ 1 ^ 3 + ^ 2 ^ 3
Bild 1-31. Netzwerk fur Beispiel 1.5-2.
U1R2 + U2R1
h-- (g)-
R1R2 + ^ 1 ^ 3 + ^ 2 ^ 3
Losung:
Fiir 72 = 0 errechnet sich U2 aus Gl. (f) wie folgt:
Bin Netzwerk mit k Knoten und m Maschen ist durch
z = (/c — 1) + m unabhangige, hneare Gleichungen U,R,-U2R,-U2R3
= 0 oder
eindeutig beschrieben (z: Anzahl der Zweige). Im I2-- Ri R2 + ^ 1 ^ 3 + ^ 2 ^ 3
vorUegenden Beispiel gibt es zwei Knoten {k = 2) J 7 , R 3 - ( 7 , ( R i + R3) = 0 .
und zwei Maschen (m = 2), so daB folgende drei
(z = ( 2 - l ) + 2 = 3) Gleichungen fiir die Strome I^ bis Damit wird
73 formuliert werden konnen: U,R,
Maschel: I^R, -\-I^R^ = U^ (A), 1/2 = - ^ - (h),
R1+R3
Masche II: 12 R2 - ^3 ^3 = - ^2 W,
eingesetzt wird Uj = 4,5 V. Fiir / j = 0 folgt aus Gl. (g)
Knoten I: I^ —I2 /. = 0 (C).
u. ^2 + 1^2^1 = 0 Oder
Dieses Gleichungssystem kann nach Bild 1-30 wie
folgt beschrieben werden: u. = -u,-^ R, (i),
Ri
^1 '2 ^3 eingesetzt wird (72 = ~ 1^ V.

R, 0 «, V, R^ 0 Somit liefern das Ohmsche Gesetz und die


0 Ri -R3 -u. mitD = 0 R: Kirchhoffschen Regeln die linearen Gleichungs-
1 -1 -1 0 1 -T systeme, mit denen beispielsweise Strome und
(a) Spannungen berechenbar sind. Im folgenden
Fiir die Determinanten der Koeffizienten ergibt sich werden mit der Maschen- und Knotenanalyse
zwei Verfahren vorgestellt, mit denen durch
l/i 0 «3 Ri vorheriges Ersetzen die Anzahl der zu losenden
Dn = -«3 0,2 = 0 -U,-R, Gleichungen verringert und damit der mathe-
0 -1 -1 1 0 -1 matische Aufwand zur Losung vermindert wer-
(b) (c) den kann.
24 1 Grundlagen der Elektrotechnik

Allgemeine Form Beispiel

x+ y+ z = 12
Gleichungs- a 2 i Xi + ^22 ^2 + • • • ^2n^n = ^2 4x-5y-2z=-n
system X- y + 2z = 9
a„,x, + a„2X2 + ...a„„x„ = b„

X, X2 . •• Xn X y z
Darstellungs- «11 «12 • .. «!„ ^1 1 1 1 12
form «21 ^22 • •• «2« ^2 4 -5 -2 -18
1 -1 2 9
«„1 «n2 • •• «nn K

Determinante
des Systems Z) =

1 1 1 1 1
Fiir 2 Variable
D= 4 - 5 - 2 4 -5
fli
a, 1 -1 2 1 -1
D= = a.,a.r>-a.,a,
D = \ (-5)-2 + 1 •(-2)-1
Fiir 3 Variable (Sarrus) + l-4-(-l)-(l-(-5)-l)
_((_!). (_2).l)-(2-4-1)
D=
D = -21

= «11«22«33+«12«23«31 +«13«21«32

Falls D =t= 0, eindeutig losbar

bi «i2 12 1 1
Determinanten a^-, . . . a-, D, -18 -5 -2 -63
der Koeffizienten D,
9 -1 2
a„. ... a„. 1 12 1
bis D,= 4 -18 - 2 = -84
1 9 2
^1
1 1 12
£>„
D,= 1 - 5 - 1 8 = -105
... ^„ 1 -1 9

Unlosbar fiir D = 0 und nicht alle D: = 0

-63
Losungen = 3
D
D2 -84
= 4
' D
-105
Z)„ =5
-21
^5"
Bild i-30. Losung linearer Gleichungssysteme mit Determinanten.
1.5 Maschen- und Knotenanalyse 25

1.5.3.2 Maschenstromanalyse a) Allgemein b) Beispiel (Bild 1-31)


(Kreisstromverfahren)
Um das Verfahren der Maschenanalyse zu ver-
stehen, betrachtet man die Schaltung in Bild
1-31. Wird die Stromstarke 73 in den beiden
Maschengleichungen (A) und (B) durch die Dif-
ferenz der Maschenstrome I1 — I2 ersetzt (nach
Masche ^: I^R^+I^R^-I2R2-U^ =0
der Knotenregel gilt 1^= I^ — I2X dann ergeben
Masche 2: /2/?2+ -^2^3 ~ -^i ^3 "*" ^2= ^
sich folgende Maschengleichungen: -^AB ~ -^m " -^s

/ i R^ + (/i - 1 2 ) R^-U^=0 (A') und Bild 1-32. Maschenanalyse: a) allgemeine Definition


eines Maschenstromes, b) Maschenstrome in der
Schaltung nach Bild 1-3L
Demzufolge hat sich die Anzahl der zu losenden
Gleichungen (in diesem Fall um eine) verrin- Mit dem in Abschn. 1.5.3.1 aufgezeigten Verfah-
gert. Das geschilderte Vorgehen wird als Ma- ren lassen sich die Stromstarken folgender-
schenanalyse bezeichnet und kann folgender- maBen berechnen:
maBen interpretiert werden: Fur das Gleichungssystem gilt
Anstelle der Zweigstrome werden bei der Ma-
schenanalyse sogenannte Maschen- oder Kreis-
strome eingefiihrt, durch deren Uberlagerung
sich die Zweigstrome ergeben. Dadurch entfallt - R3 (R2 + K3)
die Gleichung fiir die Knotenregel. Ein in meh-
reren Maschen gemeinsamer Widerstand (im Fiir die Determinanten erhalt man
vorHegenden Fall R^) wird Koppelwiderstand
bezeichnet. Fiir die Maschenanalyse gilt allge- (R1+R3) -i?3
D =\
mein: -R3 (R2 + i?3)

D = {R,+R,){R^ + R^)-Rl
Bei der Maschenanalyse miissen nur die Ma-
schengleichungen (unter Beriicksichtigung = R^ R2 -\~ R2 R:i ~\~ R3 Ri •
der Kreisstrome) aufgestellt werden. Die
u, -R3 I
Knotengleichungen werden eingespart. Al =
= U,(R, + R,)-U,R,,
Bild 1-32 zeigt die Definition der Maschen-
strome allgemein (Teilbild a) und am speziellen {R, + R,) U,
A
Beispiel nach der Schaltung in Bild 1-31. Wie
aus Bild 1-32 a zu erkennen ist, ist die Knoten- = -UAR, + R,)+U,R,.
regel immer erfullt, da in einem Knoten ein Ma-
schenstrom stets als zuflieBender und als abflie- Die Stromstarken errechnen sich dann zu
Bender Strom erscheint.
Dn^ UAR2 + R3)-U2R3
In der Schaltung nach Bild l-32b werden die ^1 =
D R^R^ + RiRi + RiRi'
Maschenstrome eingefiihrt und die Glei-
chungen nach der Maschenregel 11-^ = 0 aufge- Du^ U^Rs-UziRi + Rz)
stellt: /,=
D R1R2 + R2R3 + R3R1'
/ i R^ + / i i^3 - /2 i^3 - t/i = 0 und
Fur den Strom I^ durch den Widerstand R^
ergibt sich dann
Daraus ergeben sich die bereits oben formulier- ^1^2 + ^2^1
ten Zusammenhange: ^3 = /l l2 =
R^ R2 + i^2 ^ 3 + ^ 3 ^ 1
I,R, + {I,-l2)R3-U,=0 (AO und In Tabelle 1-5 sind die Schritte fiir die An wen-
^ 2 ^ ^ 2 - ^ 1 - ^ 2 ) ^ 3 + ^2 = 0 (B'). dung der Maschenanalyse zusammengestellt.
26 1 Grundlagen der Elektrotechnik

Tabelle 1-5. Systematisches Vorgehen bei der 1.5.3.3 Knotenspannungsanalyse


Maschenstromanalyse. (Knotenpotentialanalyse)
Bei der Knotenspannungsanalyse werden im
1. Bestimmen der Anzahl m der unabhangigen
Maschengleichungen: Vergleich zur Maschenstromanalyse die Kno-
tenregeln angewandt ( Z ^ i = 0) ^^^ ^i^ Span-
Es gilt: m = z - ( / c - l )
(z: Anzahl Zweige; k: Anzahl Knoten) nungen durch die Maschenregel ersetzt. Dabei
mussen vorhandene Spannungsquellen in aqui-
2. Umrechnen aller Stromquellen in aquivalente valente Stromquellen (Abschn. 1.5.1.2) umge-
Spannungsquellen (Abschn. 1.5.1.1). wandelt und wegen I = U G die Widerstande
durch die Leitwerte ersetzt werden. Fiir die
3. Fiir jede Masche ist ein Maschenstrom Knotenspannungsanalyse gilt allgemein:
1 = 1^ — 1^ anzunehmen.
Die Pfeilung der Maschenstrome erfolgt mog-
Bei der Knotenspannungsanalyse mussen
lichst im Uhrzeigersinn.
nur die Knotengleichungen (unter Beriick-
Jeder Zweig muB in mindestens einer Masche
sichtigung der Knotenspannungen) aufge-
enthalten sein.
stellt werden. Die Maschengleichungen wer-
4. Fiir jede Masche: Aufstellung der Maschen- den eingespart.
regel U-, = 0.
Eintragen in folgendes Rechenschema: Zum besseren Verstandnis dient als Beispiel die
h h h ... /. Schaltung in Bild 1-33 a, fiir die die Spannungs-
quellen in Bild 1-33 b durch aquivalente Strom-
Masche 1 ^11 ^12^12 «13^13 ••• ^Im^lm quellen und die Widerstande R durch die Leit-
Masche 2 «21^21 ^22 ^23^23 ••• ^Zm^lm C/2
Masche 3 ^31^31 «32^32 ^33 ••• ^3m^3m ^3
werte G ersetzt sind.

Masche m flml^ml flm2^m2 «m3 ^m3 ••• ^mm ^m

Die Abkiirzungen bedeuten:


I/. Maschenstrom in der Masche x.
U^: Summe aller Quellenspannungen
(Vorzeichen entgegen dem Umlaufsinn
der Masche x).
R^^: Summe aller Widerstande in der Ma-
sche m.
R^y'. Widerstand, der von den Maschenstro-
men I^ und /y gemeinsam durchflossen
wird.
Hinweis: Haben zwei Kreisstrome I^ und I^

1 1
pi
• • -

-r^TT
^10 ^20
n: 2 /2 = ^ 2 ^ 5

keinen gemeinsamen Zweig, dann ist jR^y = 0.


a^y: Vorzeichenfaktor nach folgender Fest-
legung:
IT ° 'T I
^12 = ^ 1 0 - ^ 2 0
R. R..
Bild 1-33. Knotenspannungsanalyse: a) Ausgangs-
gleichsinnige Strome gegensinnige Strome schaltung, b) Schaltung mit dquivalenten Stromquellen
> h > h und Leitwerten.

avv = 1
r^
' a,„ = -1
' 1 '^
Die Spannung zwischen zwei Knoten wird
5. Kontrolle des Schemas: Knotenspannung genannt (im vorliegenden
Die Koeffizienten des Rechenschemas mussen Beispiel: L^io = <?>i - 9o. ^20 = <P2 - 9o ^"^^
symmetrisch zur Hauptdiagonalen (Achse ^12 = 9\~ <P2» wobei 9o = 0 ^)- Fiir sie gilt
^ii-^mm)sein. die Maschenregel
6. Bestimmen der Losung des Hnearen Glei- ^12 + ^20 ~ ^10 = 0 o^^r
chungssystems (Bild 1-30).
^12 = ^10 ~ ^20 •
1.5 Maschen- und Knotenanalyse 27

Fiir die Strome I^ und 12 gilt nach der Knoten- In Tabelle 1-6 sind die Schritte fiir die An wen-
regel: Z^i = ^ (unter Beriicksichtigung der obi- dung der Knotenspannungsanalyse zusammen-
gen Maschenregel fiir 1/12)- gestellt.
Knoten 1:
Tabelle 1-6. Systematisches Vorgehen bei der
h - U,o (G, + G2) - G3 {U,o - U20) = 0, Knotenspannungsanalyse.
Knoten 2:
1. Umrechnen aller Spannungsquellen in aquiva-
lente Stromquellen (Abschn. 1.5.1.2).
Werden die Knotengleichungen entsprechend
2. Die Knoten werden durchnumeriert (von 1 bis
geordnet, so ergibt sich
n) und ein Knoten als Bezugsknoten gewahlt
Knoten 1: (Ziffer 0).
U,o{G, + G2 + G,)-U2oG, = I,, 3. Fiir jeden Knoten: Aufstellung der Knoten-
Knoten 2: regel: /j = 0.
Eintragen in folgendes Rechenschema:
-U,oG, + U2o{G, + G^^G,) = l2.
Vio t/20 t/30 • . C/nO
Nach dem in Abschn. 1.5.3.1 (Bild 1-30) be-
schriebenen Verfahren zur Losung linearer Knoten 1 + Gn -G,2 -Gl3 . • -Gi„ /l

Knoten 2 -G21 + G22 -G23 . • -Can ^2


Gleichungssysteme erhalt man folgende Ergeb- Knoten 3 + G33 .. • -G3„
-G31 -G32 /3
nisse:
Knoten n -G„i -G„2 -G„3 . • +G„n ^n

Rechenschema:
Die Abkurzungen bedeuten:
t/10 I/, U^Q\ Knotenspannung zwischen Knoten x
und Bezugsknoten 0.
Knoten 1 (G1+G2+G3) I^: Summe aller Quellenstrome, die in den
Knoten 2 (G3+G4+G5) Knoten flieBen (negativ, wenn Strom von
Knoten wegflieBt).
Fur die Determinanten ergeben sich nach er- G^^\ Summe aller Leitwerte, die einseitig mit
folgter Ausrechnung Knoten x verbunden sind (Knotenleit-
wert; in Hauptdiagonale).
D = (G, + G2) (G3 + G4 + G5) + G3 (G4 + G5),
G^y: Leitwert zwischen Knoten x und Kno-
^uio = ^ i ^ i ( G 3 + G4 + G5)+l/2G3G5, ten y (Koppelleitwert; es ist: G^y = Gy^).
^U20 = ^2 (^5 ((^1 + G2 + G3) + L/i Gi G3 .
4. Kontrolle des Schemas:
Fiir die Spannungen ergeben sich a) Die Koeffizienten des Rechenschemas mussen
symmetrisch zur Hauptdiagonalen (Achse
Gil —Gnn) sein;
C/io = - b) Summe der Elemente jeder Zeile muB 0 sein;
D
L/iGi(G3 + G4 + G 5 ) + [ / 2 G 3 G 5 c) Summe der Elemente jeder Spalte muB 0 sein;
d) Summe der Einstromungen /^ muB 0 sein.
(Gi + G2)(G3 + G4 + G5) + G3(G4 + G5)'
5. Streichung der Zeile n und der Spalte n (Be-
^U20 zugsknoten).
[^20 =
D
L/2G5(Gi + G2 + G3) + [ / i G i G 3 6. Losung des Hnearen Gleichungssystems (Bild
1-30).
(Gi + G2)(G3 + G^ + G5) + G3(G^ + G5)'
Fiir die Stromstarken durch die Widerstande Hinweis: Ist der Innenwiderstand der Spannungs-
erhalt man daher quelle sehr klein (annahernd gleich null), so ergibt sich
rechnerisch ein Leitwert G von naherungsweise un-
^R2 — ^ 1 0 / ^ 2 ? endlich. In diesem Fall ist es sinnvoll, einen kleinen
realen Wert fur R^ anzusetzen, oder von folgender
^R3=^12/i^3=(^10-^20)/i^3; Eigenschaft der Knotenspannungsanalyse Gebrauch
^R4 = ^ 2 0 / ^ 4 • zu machen (Bild 1-34):
28 1 Grundlagen der Elektrotechnik

Ausgangszustand nach Verschiebung

v.®/^®
-e- "•18 0
Bild 1-34. Verschiebung einer Spannungsquelle iiber
einen Knoten.
Bild 1-35. Schaltung der Wheatstoneschen Briicke.
Eine Spannungsquelle in einem Zweig kann
uber einen Knoten hinweg verschoben wer-
den. Dann muB sie in alien an den Knoten Die vorHegende Schaltung nach Bild 1-35 hat
anschlieBenden Zweigen berucksichtigt wer- z = 6 Zweige und k = A Knoten (A bis D). Da-
den. Damit andert sich aber auch die Span- mit ergeben sich m = z — A : + l = 6 — 4 + 1 = 3
nung zwischen den Knoten 0 und (2). unabhangige Maschengleichungen.
2. Es liegen nur Spannungsquellen vor.
1.5.3.4 Vergleich der Maschenstrom-
und Knotenspannungsanalyse 3. Maschenstrome sind in Bild 1-35 eingezeich-
net.
Bei der Auswahl der Maschen- oder Knoten-
analyse mu6 entschieden werden, mit welchem 4. Die Maschenregel fUr die einzelnen Maschen
Verfahren die wenigsten Gleichungen zu losen lautet
sind. Allgemein gilt: I,{R,+R,)-I^R, -I,R, =U^,
-I,R, -\-I,{R,+R,-+-R^)-I,R^ =0,
Fur Netze mit mehr als vier Knoten ist
-I,R2 -IJRD + / 3 ( ^ 2 + ^ D + ^X) = 0 .
die Maschenstromanalyse giinstiger, bei vier
Knoten und weniger die Knotenspannungs- Rechenschema:
analyse.
u
1.5.4 Briickenschaltungen {R, + R2) -Ri •R. u„
Zur Messung elektrischer GroBen (z. B. Wider- -R, iR,+R„ + Ro) -i?o
stande oder Kapazitaten) werden haufig Briik- -^2 - ^ D (R2 + Ru + R.)
kenschaltungen eingesetzt. Bereits in Abschn. 5. Kontrolle des Schemas
1.3.3.4 ist die Funktionsweise der Wheatstone- Die Symmetric der Koeffizienten zur Hauptdia-
schen Briicke vorgestellt, das MeBprinzip erlau- gonalen ist gegeben.
tert und mit der Maschenregel der zu messende
Widerstand R^ bestimmt worden (Bild 1-16). In 6. Losung des linearen Gleichungssystems
diesem Abschnitt wird mit Hilfe der Maschen- Das lineare Gleiciiungssystem lost man nach
analyse und der Methode der Ersatz spannungs- Bild 1-30. Wird die Determinante des Glei-
quelle die Diagonalspannung U^y bestimmt, die chungssystems berechnet, so ergibt sich
bei der Messung auf den Wert null abgeglichen
wird. Bild 1-35 zeigt die Schaltung der Wheat-
stoneschen Briicke. + i?i J?2(/?„ + R,) + R,R,{R,+ R2).
1.5.4.1 Berechnung mit der Maschenanalyse Es gilt [/0 = (/j — 12) Rj) (a). Deshalb werden
die Stromstarken /j und I^ berechnet. Die ent-
Zur Berechnung wird das Schema nach Tabelle sprechenden Determinanten lauten
1-5 verwendet.
1. Bestimmung der Anzahl der unabhdngigen A UoiRiRo + Ri (/?2 + R.) + R2 RD) ,
Maschengleichungen A 3 = Uo {Rl Rjy + R2iRl+Rj, + Rn)) •
1.5 Maschen- und Knotenanalyse 29

Fiir die Stromstarken gilt I2 = ^ 1 2 / ^ und

Eingesetzt in Gleichung (a) gilt fiir die Diago-


nalspannung U^^ nach erfolgter Zusammenfas-
sung im Zahler

R^R2 — RiR^
U^=V,R^
Ru{R,+R2)iRn + K)-^
Bild 1-36. Wheatstonesche Briickenschaltung; Er-
satzspannungsquelle.
(1-41)
Beispiel
1.5-3: An den Ausgang eines linearen Zweipols ist ein
AuBenwiderstand R^ anzuschlieBen. Messungen mit
1.5.4.2 Berechnung mit der Methode unterschiedlichen AuBenwiderstanden ergeben fur
der Ersatzspannungsquelle K^i=40Q eine Stromstarke /i = 2A und fiir
Man geht, wie Bild 1-36 a und b zeigt, in zwei R^2 = 90 Q eine Stromstarke von 72 = 1 A. Wie groB
ist die Quellenspannung U^ und der Innenwiderstand
Schritten vor:
i?i der Ersatzspannungsquelle
a) Berechnung der Diagonal-Leerlaufspannung
Losung:
Nach der Maschenregel und gemaB Bild 1-36 a Aus dem Ohmschen Gesetz U^ = (R^^ -\- R-^l^ und
ist ^q = (^a2 + ^i) h ergibt sich
^DL=^2-t/x (a). ^al - R&2
u=- (I//1 - 100 V,
Fiir die Teilspannungen U2 und U^ gilt Gl. I//2)
(1-36) fur den unbelasteten Spannungsteiler:
R. =- 10 Q.
1,-1,
U2 = Uo — und U^=Uo
R^ + R2 R. + R. Beispiel
Eingesetzt in Gl. (a) erhalt man 1.5-4: Fiir die Schaltung gemaB Bild 1-37 ist die
Spannung U^ zu bestimmen (R,=4Q, R2 = 20Q.,
R. R. L/q = 12 V), a) mit der Maschenanalyse und b) mit der
^DL-^O (b) Knotenanalyse.
^R^-\-R2 Rn-\-R^j
b) Ersatzspannungsquelle
Nach Abschn. 1.5.1.1 (Bild 1-26) ergibt sich als
Ersatzspannungsquelle die Schaltung in Bild
l-36b. Fiir die Spannung U^y ergibt sich nach
dem Spannungsteiler (Gl. (1-36))

i^D
^D=^DL (c).

Fur den Innenwiderstand R^ gilt nach Bild 1-26


Bild 1-37 a. Maschenanalyse.
R1R2 R„R^
R.= + ^n
- (d). a) Maschenanalyse (Bild 1-37 a)
Ri-^-Rl + ^x
Der Widerstand R, wird vom Maschenstrom Z^, der
Werden die Ausdriicke fiir t/^L (Gl. (b)) und R^ Widerstand ^2 wird vom Maschenstrom 12 durchflos-
(Gl. (d)) in die Gleichung (c) fiir die Spannung sen. Deshalb gilt fiir die Spannung [/^ = /^ i?2 + ^2 ^1 •
Uj) eingesetzt, dann ergibt sich die bereits be- Da z = 5 und k = 4, gibt es m = 2 Maschengleichun-
kannte Gleichung (1-41). gen:
30 1 Grundlagen der Elektrotechnik

h 1.6 Grundlagen der


Masche 1 Wechselstromlehre
Masche 2 -R, (2R1 + R2)
1.6.1 Grundlagen komplexer Rechnung
D ={R, + R,){3R, + R:,);
1.6.1.1 Reelle, imaginare und komplexe Zahlen
D,, = Uoi2R,+R,);
In der Wechselstromlehre ist es sehr vorteilhaft,
mit komplexen Grofien zu rechnen. Deshalb sei
I, =DJD; l2 = DJD. eine kurze Einfuhrung in die komplexe Rech-
nung vorangestellt. In Bild 1-38 ist eine Uber-
R1+R2
U. =[/n :9V. sicht uber die Zahlensysteme gegeben.
3R1+R2
Links sind die reellen Zahlen zu fmden. Ausge-
hend von den ganzen Zahlen kommt man
durch Division zu den rationalen Zahlen, die als
^=^^,6,
-uzy unendliche periodische Dezimalzahlen darge-
• O L
I stellt werden konnen.
Durch das Radizieren (Wurzelziehen) gelangt
man zu den irrationalen Zahlen (Wurzel aus
einer positiven Zahl) und zu den imagindren
I Zahlen (Wurzel aus einer negativen Zahl). Die
irrationalen Zahlen Hefern nicht periodische
Bildl-37b. Knotenanalyse. Dezimalbriiche. Zu den reellen Zahlen werden
noch die transzendenten Zahlen (z. B. die Zahl e
b) Knotenanalyse (Bild l-37b) Oder Ti) gezahlt, ferner die Logarithmen.
Netzwerk fiir die Knotenanalyse Wie Bild 1-38 zeigt, sind die reellen Zahlen
Rechenschema: durch den Zahlenstrahl in waagrechter Rich-
tung veranschaulicht. Vom Nullpunkt aus sind
^10 ^20 ^30 ^00
nach rechts die positiven und nach links die
Kn. 1 (2G1+G2) -Gi -G2 -Gi negativen Zahlen eingetragen.
Kn.2 -Gi (2G1 + G2) - G i -G2 0
Kn. 3 -G2 -Gi (G1 + G2) 0 0 Die imaginaren Zahlen und ihre Definitionen
Kn.O ~G, -G2 0 (G1 + G2) -L/oG, sind auf der rechten Seite des Bildes 1-38 zu
sehen. Grundlage ist die Einfuhrung der imagi-
Die Kontrolle (Zeilen gleich null; Spalten gleich null; ndren Einheitsgrofie j :
rechte Spalte gleich null) bestatigt die Richtigkeit der
Gleichungen.
Der Bezugsknoten wird gestrichen (Zeile mit Knoten j=' J~-^ (1-42)
0 und Spalte mit Spannung UQ^. ES wird fur L/30 die
gesuchte Spannung U^ gesetzt. Damit liegt folgendes Daraus resultieren folgende wichtige Umrech-
Gleichungssystem vor: nungen:
Vio U, U^
3" = - 1 ; / = -- 7 ; 7^ = + i ;
Knoten 1 (2G1 + G2) - G i -G2 1/7 = -7"- (1-43)
Knoten 2 -G, (2G1 + G2) - G i 0 +7;
Knoten 3 -G, -G, (G. + G^) 0
Die allgemeinen Zusammenhange sind in Bild
Die entsprechenden Determinanten errechnen sich zu 1-38 zusammengestellt.
i) = Gi(Gi + G2)(Gi + 3G2) und Imaginare Zahlen werden grafisch in einem
D^,= UoG,{G, + G,)\ senkrechten Zahlenstrahl dargestellt und in ihm
gerechnet (Rechenbeispiel Aj —j = 3j).
Damit ist Reelle und imaginare Zahlen zusammen erge-
ben eine komplexe Zahl Z. (Das Unterstrei-
= 9V.
chungszeichen kennzeichnet komplexe Gro-
1.6 Grundlagen der Wechselstromlehre 31

Zahlensysteme

ganze Zahlen G
Addition, Subtraktion,
Multiplikation

positiv +4

null

negativ -3

reelle rationale Zahlen Q imagi-


Zahlen nare
Addition, Subtraktion,
Zahlen
Multiplikation, Division J =
-1
Z.B. 3 r
Briiche
unendliche, periodische
Dezimalzahlen -J
j
irrationale Zahlen Imaginare Zahlen

Radizieren ^ + Radizieren
positiver Zahlen negativer Zahlen
unendliche, nicht periodische = +1
Dezimalzahlen
r""'=;
4n + 2 _ _ 4

Transzendente Zahlen ;4n + 3


= -Jin = U2,...)
e =2,71828... ^^^
71 = 3,14159...
4/
Zahlenstrahl
+3 -1

-1 1 2*'^ Z
negative positive Zahlen
f-^
Zahlen

Jk imaginare Achse
GAUSSsche Zahlenebene Komplexe Zahl Z
• Waagrechte Achse Z_ = a+jh = Z(cos(p -\-jsin(p)
Reelle Zahlen
• Senkrechte Achse •- Imaginarteil
Imaginare Zahlen Realteil
-^ +
reelle Achse EuLERsche Formel
e-'*^ = coscp +jsin(p
-/t

komplexe Zahlen

Bild 1-38. tJbersicht uber die Zahlensysteme.


32 1 Grundlagen der Elektrotechnik

Ben.) Sie werden graphisch in der Gaufischen Dabeigilt(|Z| = Z):


Zahlenebene (C. F. GAUSS, 1777 bis 1855) darge-
stellt. Die waagrechte Achse stellt die reellen Z = ^a^ + b^ ; tan (p = b/a;
GroBen dar und in der senkrechten Achse ste-
cos (p = a/Z; simp = b/Z . (1-49)
hen die imaginaren. Beide Achsen stehen somit
senkrecht aufeinander. Eine komplexe Zahl Z
besteht also aus einem Realteil a und einem b) Addition bzw. Subtraktion
Imagindrteil b, so daB gilt (Bild 1-38): Hierfur gelten folgende Gleichungen:
Z = a-\-bj = Z (cos (p-\-j sin cp). (1-44) Z i + Z2 = (ai + ^2) +7(^1 + ^2) ^
Z,-Z^ = {a,-a^)+j{b,-b2). (1-50)
Wie aus dieser Gleichung hervorgeht, sind die
reellen Zahlen (fur b = 0) und die imaginaren
Zahlen (fur a = 0) ein Spezialfall von Z. Dies bedeutet, daB die Real- und Imaginarteile
Die komplexe Zahl Z kann nach Gl. (1-44) auch getrennt verrechnet werden mussen. In Bild
als Zeiger verstanden werden, der die Zeiger- 1-39 ist das zugehorige Diagramm in der GauB-
lange Z besitzt und sich im Winkel cp von der schen Zahlenebene mit einem Beispiel darge-
Waagrechten befindet. Wird die Eulersche For- stellt.
mel Q^"^ = coscp +7 sine/) verwendet, dann kann c) Multiplikation bzw. Division
Z auch folgendermaBen geschrieben werden:
Hierfur gelten folgende Zusammenhange:
(1-45)
z = ze Z i Z 2 = Z i Z 2 (cos ((pi + (P2)
+jsin((pi + (p2))
1.6.1.2 Rechnen mit komplexen Zahlen = Z Z Q^^^^^^^^ (1-51)
In Bild 1-39 sind die komplexen Zahlen und
ihre Darstellungsformen sowie die Rechenope- Dabei errechnet sich
rationen dargestellt, so daB hier nur noch die
wichtigsten Formelzusammenhange aufgefuhrt
sind.
tan((pi + (P2)
a) Darstellungsformen = (aib2 + bia2)/(«i<^2-^i^2)- (1-52)
Aus einer komplexen Zahl Z wird eine konju-
giert komplexe Zahl Z*, wenn der Imaginarteil
sein Vorzeichen andert: Fiir die Division gilt

Z 1 / Z 2 = Z 1 / Z 2 (cos ((Pi - (/>2)


a-jb, (1-46)
+ jsin((pi-(P2))
Das Produkt aus einer komplexen Zahl Z und ^ZJZ^Q'^'"''''^^ . (1-53)
der dazugehorigen konjugiert komplexen Zahl
Z* ist eine reelle Zahl gemaB
Hierbei ist
ZZ'' = {a+j b) (a - ; b) = a^ + /?^ (1-47)
ZJZ, =- ^ \ + b^dl(al + bl),
In Bild 1-39 sind die verschiedenen Darstel- tan {(p 1 -(Pi)
-
lungsformen einer komplexen Zahl Z aufge- = (a 2 ^ 1 - ai biWi Oi + b^bj). (1-54)
zeigt:
Wie aus diesen Gleichungen sowie aus Bild 1-39
Z = a-\-j b = \Z\ {cos(p -\-j sincp) hervorgeht, fmdet bei der Multiplikation eine
= |Z|eJ>. (1-48) Drehstreckung statt. Dabei wird der Zeiger auf
Zi Z2 gestreckt und um cp^ + (p2 gedreht.
1.6 Grundlagen der Wechselstromlehre 33

komplexe Zahlen

/, 1 imaginare Achse '=V-i


Z^ = a+jb komplexe Zahl
Z = ^a^-{- b^ Betrag
""^"-* b
1" tan (p = — Richtung
1 .
^^-z COS(/) =
^1)
Z* = a—jb konjugiert komplexe Zahl
-i\ Z'Z* = (a +jb) {a -jb) = a^ + b^

Darstellungsform komplex konjugiert komplex

Real- und Imaginarteil Z^ = a+jb Z* -a-jb


trigonometrische Form Z = Z{cos(p +jsm(p) Z* • Z{cos(p —jsincp)

EuLERsche Formel
e-^*'' = coscp +jsin(p e J'*' = cos(p —jsmcp

Exponential-Form Z = ZQJ'' Z * = Ze~J'P

Gleichungen GAUSSsche Zahlenebene Beispiel

Addition/Subtraktion

^ 1 + ^ 2 = («1 + ^2) +7(^1 + M


Z i - Z2 = (fli - ^2) +7(^1 - ^2) Z2 = 1 + \,2j
Real- und Imaginarteil miissen Z i + Z2 = 4 + 3,27
getrennt verrechnet werden.
Realteil

Multiplikation/Division

Z i Z2 = Z i Z2 (cos((j9i + (P2) + 7 sin(()Oi + (P2)) Z i = 3 + 27


Z i Z 2 = ZiZ2e^'^'^^+^^^ Z2 = 1 + 1,27
, 9+ 4
Z i Z2 = V ( ^ i ^2 - ^1 ^2)^ + («1 ^2 + ^1 ^zf
a^b2 + ^i<32
tan((^i + (P2) = - tan((^i-(/)2)
•b,b2
1 •2-3-1,2
0,296
Realteil 3-1 +2-1,2
^l/^2=^l/^2i^0^i(Pl-(P2)-^J^^M(Pl-(P2)) „Drehstreckung"
( ^ ^ _ ^ ^ ) = _16,5°
Zi/Z2 = Zi/Z2-e^'^'^^-^^)
Z i / Z 2 = 2,3e-^"'^''°
Z1/Z2 =
al + bl'
CI2 b^ — a^ ^2
tsin{(p^-(P2) =
a^a2 + b^b2

Bild 1-39. Komplexe Zahlen, Darstellungsformen und Rechenoperationen.


34 1 Grundlagen der Elektrotechnik

Potenzieren / Wurzelziehen

Z" = Z" {cos n(p-\-j sin ncp) z=y8 '


Z" = Z" QJ"'^ i i1 Radius Z = ^S = 2
1— r~( f(p + k'2n\ k = Q
V 2 = V ^ 1 CO s 1 J Al^ Zo = V8(cos(0)
^o\ + 7sin(0))=+2
• 1+2 *
/c = l
I Realteil
(/c = 0, l , 2 , . . . , ( n - l ) )
Z, = 2 ( c o s ( ^ )
.((p + k-2n\

Z, = - \ + j ^
k=l

Z, = 2 ( c o s ( ^ )

+;si„(^))

Z2=-1-JV3

5//(i i-iP. Komplexe Zahlen, Darstellungsformen und Rechenoperationen (Fortsetzung).

d) Potenzieren bzw. Wurzelziehen Nach der Zeit differenziert erhalt man


Nach Bild 1-39 gelten die Gleichungen
dL/
= jcoUQ^^'''^''^=jcoU. (1-57)
Z" = Z" (cos (n cp) + ; sin (n 9)) dt
= Z" e-^"*^. (1-55)
Durch die Differentiation wird der komplexe
y z = ^ (cos {{(p^kl n)/n) Zeiger um 90° gedreht und auf das co-fache ge-
-\-jsm{{(p + k2n)/n) streckt. Integriert ergibt sich
— n/^ QJii<P + 2kn)/n) ^Udt = ^U d^""'^^^ dt=U d"" j e-'"'^^ dt
(/c = 0 , l , 2 , . . . , ( n - l ) ) . (1-56) 1

Wie Gleichung (1-56) zeigt, ergibt die n-te Wur-


zel einer komplexen Zahl insgesamt n verschie- {Udt = --UQJ^'''^''^ = --U. (1-58)
dene Wurzelwerte mit gleichen Absolutwer- CO CO

ten Z. Grafisch veranschaulicht ergibt sich ein


Kreis mit dem Radius .(j/z mit n Zeigern. Bild Durch die Integration wird der komplexe Zei-
1-39 zeigt den Rechnungsgang und die Losun- ger um —90° gedreht und durch co dividiert.
gen fur ^/S.
e) Differentiation und Integration
Fiir die Differentiation und die Integration 1.6.2 Kenngrofien
komplexer Zeitfunktionen gilt, wie das Beispiel 1.6.2.1 Wechselspannung und Wechselstrom
der komplexen Wechselspannung U zeigt,
Die wichtigsten KenngroBen beim Wechsel-
jj = jj QJ(o^t+<p) ^ strom sind der periodische Verlauf der Span-
1.6 Grundlagen der Wechselstromlehre 35

nung u (t) und des Stromes / (t). Die Bezeichnun-


gen fur die WechselstromgroBen orientieren 'Pu-'Px
^k
sich an DIN 40110 (WechselstromgroBen). U{t) =0 COS (C0f + (^u)

Die periodische Wechselspannung u{t) kann /(f) = rcos (cof+i^j) —


folgendermaBen beschrieben werden:

u(t) = u cos{cot-\-(pJ (1-59)

Dabei ist u der Scheitelwert der Spannung, der


den groBten Augenblickswert t/(Omax angibt.
Die Kreisfrequenz co errechnet sich nach

(jo = 2nf = {2n)/T. (1-60)

Die Frequenz / gibt an, wieviele Schwingungen


pro Sekunde stattfinden und errechnet sich aus
der Periodendauer T zu f=l/T. Die Frequenz
der technischen Wechselspannung bzw. des
-stroms ist / = 50 Hz, was einer Kreisfrequenz
c)
von CO = 10071 s~^ entspricht. Die Kreisfre- u(t)=ueJ^'^'^'^^^ /{f) = re^<'^^+^i'
quenz CD entspricht der Winkelgeschwindigkeit,
mit der der Zeiger rotiert. Der Nullphasenwin- Bild 1-40. Wechselspannung u(t) und Wechselstrom
i(t): a) Liniendiagramm, b) Zeigerdiagramm, c) Kom-
kel (p^ gibt den Startwinkel des rotierenden Zei- plexe Darstellung fUr (p = -7i/4.
gers an (Bild 1-40 a).
In einem geschlossenen Wechselstromkreis 1.6.2.2 Effektivwert und Halbschwingungs-
flieBt durch die Bauelemente ein Wechselstrom mittelwert
/ (t) derselben Frequenz. Er lautet allgemein
Zur Messung von WechselstromgroBen werden
i{t) = tcos{cot-^(p^). (1-61) haufig Gleichstrominstrumente mit vorgeschal-
tetem Gleichrichter verwendet. Sie zeigen - al-
Hierbei ist i der Scheitelwert des Wechselstro- lerdings nur bei sinus- bzw. cosinusformigem
mes (maximaler Augenblickswert des Stroms) Verlauf- den sog. Effektivwert an, d. h. diejenige
und (p^ der Nullphasenwinkel des Wechsel- GleichstromgroBe, die dieselbe Leistung er-
stroms. zeugt wie die WechselstromgroBe. Mathema-
In Bild 1-40 a ist das Liniendiagramm, das Zei- tisch ausgedriickt ist der Effektivwert im allge-
gerdiagramm (Bild l-40b) und die komplexe meinen Fall der zeithche quadratische Mittel-
Darstellung (Bild l-40c) zu sehen. wert der entsprechenden elektrischen GroBe.
In einem Wechselstromkreis sind der Nullpha- Fiir den Effektivwert des Wechselstroms / gilt
senwinkel der Spannung (p^ und des Stromes cp-^ daher
oft unterschiedlich groB, so daB sich eine Pha-
senverschiebung cp zwischen Spannung u {t) und
Strom / (t) ergibt

(P = (Pn-(Pi' (1-62) Mit / (t) = Tcos {(jot-^(p^) ist

Die Phasenverschiebung (p zwischen Spannung / = r/lfcos^(a,f)d. = r / ^


und Strom hangt, wie der folgende Abschn.
1.6.3 zeigt, von der Induktivitat L der Spule und und damit
der Kapazitat C des Kondensators ab. Ist
(p>0,so eilt die Spannung dem Strom voraus,
ist 9 < 0, so eilt die Spannung dem Strom nach
(Bild 1-40).
36 1 Grundlagen der Elektrotechnik

Entsprechend gilt fur den Effektivwert der Die Formulierungen fur die Wechselspannung
Spannung U sind entsprechend.
Nur fiir den Fall, daB die WechselgroBe durch
eine Cosinus- bzw. Sinusfunktion beschrieben
werden kann, gilt wegen Gl. (1-64) k^ =
yjl ?^ 1,414. Fiir eine Dreiecksspannung ist der
Bild 1-41 zeigt die Zusammenhange. Scheitelfaktor beispielsweise k^ = 1,73.
Bild 1-42 zeigt das Vorgehen bei der Bestim-
mung des Scheitelfaktors fiir zwei Halbwellen.

Bild 1-41. Wechselstromverlauf i {t) = / cos {w t), Bild 1-42. Bestimmung des Scheitelfaktors bei zwei
Scheitelwert i, Effektivwert I und Halbschwingungs- Halbwellen.
mittelwert h.
Hinweis: Wird mit einem Multimeter mit einem Re-
chenschaltkreis der Effektivwert von nicht sinusfor-
Der arithmetische Mittelwert iiber einer ganzen migen WechselgroBen ermittelt, so ist folgendes zu
Periode wird Gleichwert genannt; er ist bei einer beachten: Der in der Gebrauchsanweisung angege-
reinen Cosinus- bzw. Sinusschwingung gleich bene Scheitelfaktor darf auf keinen Fall iiberschritten
null. Deshalb wird haufig der arithmetische Mit- werden, andernfalls wird falsch gemessen.
telwert iiber einer halben Periode der Wechsel-
groBe ermittelt, welcher Halbschwingungsmittel- 1.6.2.4 Formfaktor
wert genannt wird. Er entspricht deshalb der Hohe.
Der Formfaktor kf einer WechselgroBe ist der
eines Rechtecks, dessen Flacheninhalt gleich dem
Quotient aus Effektivwert und Mittelwert
einer Halbwelle ist (Bild 1-41). Deshalb gilt bei-
(arithmetischer Mittelwert oder Halbschwin-
spielsweise fur den Halbschwingungsmittelwert 4
gungsmittelwert), so daB sich der Formfaktor
des Wechselstroms i{t) = /cos {cot):
des Stromes (analoges gilt fiir die Spannung)
1 ^"/2 ^ /
ergibt

71 nil 71 Effektivwert / /
kt = (1-68)
2i Mittelwert L I
; 0,637 i. (1-66)
Fiir reine Cosinus- bzw. Sinusschwingungen ist
kf = 71/(2^2) = 1,111.... Fiir steilere Kurven-
1.6.2.3 Scheitelfaktor (Crestfaktor) verlaufe ist der Formfaktor groBer und fur fla-
Das Verhaltnis des Scheitelwertes (z. B. foder u) chere kleiner. Er kann je nach Kurvenform zwi-
zum Effektivwert (z. B. / oder U) wird Scheitel- schen 1 und oo hegen.
faktor /Cg genannt. Es gilt fiir den Scheitelfaktor
des Wechselstromes
1.6.3 Komplexe Rechnung
Scheitelwert der WechselgroBe T im Wechselstromkreis
k =
Effektivwert der WechselgroBe / Die im folgenden beschriebene komplexe Rech-
(1-67) nung im Wechselstromkreis gilt nur fur cosinus-
bzw. sinusformige WechselstromgroBen.
1.6 Grundlagen der Wechselstromlehre 37

1.6.3.1 Zeigerdarstellung komplexer Grofien Der komplexe Leitwert Y ist der Kehrwert des
komplexen Widerstandes Z, so daB sich ergibt
WechselstromgroBen, beispielsweise cosinus-
bzw. sinusformige Strome oder Spannungen Y=Ijjj = i^I/U)QJ^^-^^K (1-72)
gleicher Frequenz, werden in der GauBschen
Zahlenebene als komplexe Zeiger Z dargestellt.
In Bild 1-44 sind die zugehorigen Zeigerdia-
Da nur der Realteil eines Zeigers meBbare Wir-
gramme dargestellt und die Schein-, Wirk- und
kungen zeigt, bezeichnet man die elektrischen
Blindanteile des komplexen Widerstandes Z
WechselstromgroBen (Strom, Spannung, Wi-
bzw. des komplexen Leitwerts Y zusammenge-
derstand, Leistung) gemaB Bild 1-43.
stellt und die Gleichungen zur Berechnung des
Absolutbetrags des Zeigers und des Phasenwin-
/ I

'oj
i€^
A1 kels zu finden.
Wie aus Bild 1-44 zu erkennen ist und Gl. (1-71)
:CD 1 Blind- im Vergleich mit Gl. (1-72) zeigt, ist der Phasen-
"D) 1 anteil
03 winkel des komplexen Leitwerts 7 gleich dem

A
t 1 negativen Phasenwinkel des komplexen Wider-
1 stands Z. Oft werden komplexe Widerstande
Wirkanteil J Realteil und komplexe Leitwerte in ein gemeinsames
Bild i-43. Bezeichnung elektrischer Wechselstrom- Diagramm eingezeichnet. In diesen Fallen wird
groften im Zeigerdiagramm. statt des komplexen Leitwerts Y der konjugiert
komplexe Leitwert 7 * eingezeichnet, da er -
Der Realteil ist der Wirkanteil, der Imaginarteil wie Bild 1-44 zeigt - in Richtung des komplexen
der Blindanteil einer WechselstromgroBe; beide Widerstands Z Hegt.
zusammen ergeben als komplexen Zeiger die Beispiel
Scheingrofie Z. Komplexe GroBen, die zeit-
1.6-1: In einem Wechselstromkreis befindet sich ein
unabhangig sind, werden Operatoren genannt.
Ohmscher Widerstand von K = 30 Q und eine Indukti-
So ergeben sich beispielsweise durch die Divi- vitat. Der Effektivwert der Spannung betragt (7 = 156 V
sion der Spannung durch den Strom der Wider- und der Effektivwert des Stromes / = 2 A. Wie lauten
standsoperator (oder komplexe Widerstand) die komplexen GroBen, die Phasenverschiebungen und
und durch die Division des Stromes durch die die entsprechenden Schein-, Wirk- und Blindanteile.
Spannung der Leitwertoperator (oder kom- Losung:
plexe Leitwert).
a) Komplexer Widerstand
Scheinwiderstand (Gl. (1-74) in Bild 1-44)
1.6.3.2 Ohmsches Gesetz Z= t/// = 156V/2A = 78Q.
In Bild 1-44 sind die Herleitungen fur den kom- Blindwiderstand (Gl. (1-76) in Bild 1-44)
plexen Widerstand Zund den komplexen Leit- X = ^Z^-K^ = V(78 Qf - (30 Q)' = 72 Q.
wert 7 zusammengefaBt. Fiir die komplexen
Phasenwinkel (Gl. (1-80) in Bild 1-44)
Effektivwerte von Wechselspannung und -strom
gilt tan (p = X/R = 72 Q/30 Q = 2,4 oder
(/)= 1,176, d.h 67,38°.
U=UeJf^, (1-69) Der komplexe Widerstand Z lautet somit nach Gl.
(1-71) in Bild 1-44

I=IQJ'P^. (1-70) = R+jX= (30+j72) Q.


b) Komplexer Leitwert
Wird die komplexe Spannung U durch den Scheinleitwert (Gl. (1.73) in Bild 1-44)
komplexen Strom / dividiert, dann ergibt sich y = l / Z = l/78e-'-''^^'^^°Q-^
nach dem Ohmschen Gesetz der komplexe Wi- = (0,00493-70,01183) Q-^
1 1 -- 0,01282 a-i
derstand Z zu
'^r ~78a
Wirkleitwert G = -
R 30 Q
Z = U/! = iU/I)QJ^''^-'''\ (1-71) ' R^ + X"- (30^-^722)02
= 4,931 l O - ^ Q - ^
38 1 Grundlagen der Elektrotechnik

Komplexer Widerstand Z Komplexer Leitwert Y

Wechselspannung U=UQJ^ (1-67)

Wechselstrom 1=1 QJn (1-68)

U
Ohmsches Gesetz Z =— y=—
- I
u u Y_ = _ e^Cv'i- ^) = — e-^> (1-70)
Z = -Qj(<p.-<Pi) = -Qj<p (1-69)

z
/ i
Zeiger-Diagramm ,z

Realteil
B
]
^ Realteil

Z = R-h7X (1-71) 7=G+7B (1-72)


1
y=— (1-73)
- z
Scheinanteil Scheinwiderstand Z Scheinleitwert Y
(Impedanz) (Admittanz)
U
Z =j (1-74) ^ =\ (1-V5)

Wirkanteil Wirkwiderstand R (Resistanz) Wirkleitwert (Konduls:tanz) GjR


K R R
R^Zcos (p
^ z z^ R^ + X^
Blindanteil Blindwiderstand X (Reaktanz) Blindleitwert (Suszeptanz) B
XX X
X = Z s i n < ^ = -v/Z2-7^2 -B - ^ " " ^ ^ Z 7} R-^X^
(1-76) -B = ^ r - i-? (1-77)

Absolutbetrag z = yi?2_^x2 (1-78) Y = ^G^ + B' (1-79)

Phasenwinkel (p=<Pu-<Pi

tan(» = — (1-80) t^n<p = -^ (1-81)


^ R G

Bild 1-44. Ohmsches Gesetz im Wechselstromkreis: komplexer Widerstand Z und komplexer Leitwert Y.
1.6 Grundlagen der Wechselstromlehre 39

Blindleitwert B In der unteren Zeile in Bild 1-45 ist die Fre-


72 n quenzabhangigkeit der entsprechenden kom-
B ^
R^+X'
- = -0,01183 n-
(302 + 722)^2 plexen Widerstande aufgezeichnet. So ist der
Phasenwinkel cp (Gl. (1-81) in Bild 1-44) ohmsche Widerstand von der Frequenz unab-
tan <^ = -5/G; <^= 1,176, d.h. 67,38°. hangig, der induktive Widerstand nimmt hnear
mit der Frequenz zu und der kapazitive Wider-
Der komplexe Leitwert lautet somit nach Gl. (1-72)
stand nimmt gemaB einer Hyperbel mit zuneh-
Y= (4,93 -7 11,8) • 10-^ 0.-' = 0,0128 • e-^^^'^^" Qr\ mender Frequenz ab.
Beispiel
1.6.3.3 Verhalten der Bauelemente 1.6-2: Gegeben sind die Frequenz / = 50 Hz, eine In-
Bild 1-45 zeigt das Verhalten der drei passiven duktivitat von 1,5 H und eine Kapazitat von 8 fxF.
Bauelemente, Ohmscher Widerstand R, Induk- Berechnet werden soil a) der komplexe Widerstand
ZL fiir die Induktivitat L und b) der komplexe Wider-
tivitat L und Kapazitat C im Wechselstrom- stand Zc fiir die Kapazitat C.
kreis.
In der ersten Zeile des Bildes 1-45 ist das Bau- Losung:
element und sein Schaltzeichen zu sehen, und in a) Induktivitat L
der zweiten Zeile ist der Strom als Ausgangs- Nach (01. (1-86) in Bild 1-45 ist der Betrag des Blind-
groBe angegeben. Ein spezielles, vom Bauele- widerstandes
ment abhangiges Gesetz gibt die Beziehung zwi- ZL =COL = 50 • 27is-> • 1,5 H = 471,2 Q.
schen der Spannung u{t) und dem Strom i{t) an Der komplexe Widerstand Z^ betragt deshalb:
(Ohmsches Gesetz flir den Widerstand, das Zi^=j47\,2 Q.
Induktionsgesetz ffir die Induktivitat und der
Zusammenhang zwischen Ladung und Spannung b) Kapazitat C
bei der Kapazitat). Die Beziehungen werden Nach 01. (1-87) in Bild 1-46 gilt fur den Betrag des
durch die Gleichung (1-82) bis (1-84) beschrie- Blindwiederstandes XQ.
ben. Daraus laBt sich im Zeigerdiagramm er- Xc = \/{coC) = 1/(100 71 s-^ • 8 • 10-6 F) = 397,9 Q.
kennen, ob eine Phasenverschiebung zwischen Somit betragt der komplexe Widerstand
Spannung und Strom vorliegt. So ist daraus er- Zc = -7 397,9Q.
sichtlich, daB
- beim Ohmschen Widerstand R Spannung 1.6.3.4 Reihen- und Parallelschaltung
und Strom nicht phasenverschoben sind,
- bei der Induktivitat L die Spannung dem Bild 1-46 zeigt die Zusammenhange bei die Rei-
Strom um 7i/2 vorauseilt, henschaltung der drei Bauelemente Widerstand
- bei der Kapazitat C der Strom der Spannung (R), Induktivitat (L) und Kapazitat (C).
um Tr/2 vorauseilt. Da bei einer Reihenschaltung der Strom / kon-
Dies wird durch den zeitUchen Verlauf der stant bleibt, addieren sich nach der Maschenre-
Spannungen und Strome veranschaulicht. Mit gel die komplexen Spannungen. In der zweiten
dem Ohmschen Gesetz fur den komplexen Wi- Zeile sind die zugehorigen Zeigerdiagramme fur
derstand Z = TJH errechnen sich die entspre- die Spannungen und die Widerstande zu sehen,
chenden Widerstande, die in der GauBschen in der dritten Zeile von Bild 1-46 die Maschen-
Zahlenebene eingezeichnet werden (Bild 1-45, regel. AnschheBend werden die allgemeinen
sechste Zeile). Folgendes wird deuthch: Formeln zur Bestimmung des komplexen Wi-
- der ohmsche Widerstand R liegt auf der reel- derstandes Z aufgefuhrt und in der funften Zeile
len Achse, der spezielle komplexe Widerstand durch Addi-
- der induktive Blindwiderstand isty XL =j co L tion der komplexen Widerstande errechnet. In
(Gl. (1-86) in Bild 1-45) und Hegt deshalb auf der letzten Zeile werden fur ein RLC-Ghed die
der positiven imaginaren Achse, Gleichungen fiir die Reihenresonanz aufgefuhrt.
- der kapazitive Blindwiderstand ist - jX^ = In Bild 1-47 sind die Zusammenhange bei der
l/iJcoC) = -j(l/coC) (Gl. (1-87) in Bild Parallelschaltung aufgefuhrt.
1-45). Er ist auf der negativen imaginaren Im Gegensatz zur Reihenschaltung bleibt bei
Achse (-J) aufzutragen. der Parallelschaltung die komplexe Spannung
40 1 Grundlagen der Elektrotechnik

T3
-r^ G
o
•*->
B

d
CO
u
(D
C
^^
a
Q —_
O '^
fl
1 0
" • — '
pq
0
'S > 3
•S
•'-^ 1^
oJ c« '-I3
^ & I
3 bll ^1 II
0
M
II II
t^ \
00 Ml
::>!

^3 ^
(U < !

t/3 :cd
O C

c^ II
7^ ^
S <D <1
^ 3
^3

3
^1h
^ 00
Nl
bl :::>!

<
-o
a
T3 A15 1^- 3 "55

"1^
T3 en O

4:3
^
O S<S ^1
t-.i II
bl

a
0
G
^
VH
G ^00 s;
C/5
•5b ^
?
OH
00
i-t
X3 s
0 X) Q
(U N (U oq
43 (U 4:3 N

ffl
o 0 W) 0
=3
G
d)
^ =J
O^
«o
N
0
>
>-H
O
fc :^
0:5
1.6 Grundlagen der Wechselstromlehre 41

>-l o o
o

P^i ^
X^ '
• ^
Qc i^Ni
:::»i

PI
"^1
I
i^

i.
3
^1 si
3°i
:^l bi bi
U QlS

as

I3

3
pi
+ "" I 3 +
^1
I

II
^11
II
;:^| ^1 b| Nl Nl
Nl

N
II
Nl
-.il
3

^1

^ *-]
^^ ^ •~~i
<^ +
b -^ 3
+ + +
O!
^< ^ II + 3I
^1 bi ;:r ^

u II II
;::)| ;:::)l
II
:^l
II
Nl
II
Nl Nl

T3
03

(30
W) II
^s
ct
^ ^
N s B
o
42 1 Grundlagen der Elektrotechnik

^1 o
Hh OQ
o

V ^ ^"
II
^\
SA QD

^1
1^
M
-il \^ ^
_j lo ^ LH 1^
HIZH \^
1 ^1
1 3
II
^
II II
Csl

tr
•^1 \ •-Hi ^ u
«
3 ^B ^
ii

I
II o^ O

3
I
+ 3
II

^ + o
i::)|
>
^1 ^1 ^1 >-l

:::)l j-ix O
II
OQ

-|oc'
II
, /
o z
^V^l
.
+ +
^1^1^

'a
OB o ^ c
c
o
o CD (U (D
:=i H J

N o
1.6 Grundlagen der Wechselstromlehre 43

U konstant. Deshalb addieren sich nach der i?-C-Schaltung:


Knotenregel die Teilstrome und die Teilleit- lRc=l/i?+7a>C
werte. Auch in diesem Bild sind die allgemeinen = 6,67-lo^a-i+71,57-10-3 a-i
Gleichungen zur Bestimmung von komplexen
7RC = V(l/1500 Qf + (1,57 • 10-3 a-^)^
Leitwerten aufgefiihrt und die speziellen Leit-
wertgleichungen fur die verschiedenen Schal- = 1,71 • 10-3a-i = l,71mS.
tungen. 1st IQ = I^, dann liegt Parallelresonanz Nach Gl. (1-110) in Bild 1-47 ist tan <^ = - coCR
vor. = -2,356; (^ = -67°.
i^-I-C-Schaltung:
Beispiel
Nach Gl. (1-103) in Bild 1-47 ist:
1.6-3: In einem Wechselstromkreis von / = 5 0 H z
sind folgende Bauelemente gegeben: Widerstand IRLC = 1/^ +j(coC- l/icoL)) = 1/1500 a-i
R = \,5 kQ, Induktivitat L = 2,5 H und die Kapazitat +7 (1,57 • 10-3 a-i - 1,27 • 10-3 a-i)
C = 5 |iF. Wie groB ist fiir ein i?-L-, R-C- und R-L-C- = 6,67 • lo^a-^ +7 2,98 • 10-3 a-^.
Glied a) der komplexe Widerstand fiir die Reihen-
schaltung und b) der komplexe Leitwert fiir die Paral- 7RLC = V (1/1500 Q-'f + (2,98 • l O - ^ a ^ '
lelschaltung und die Teilstrome /R , /L und I^ (fiir die = 0,730- 10-^ Q-' = 0 J3coS
Schaltung R-L-C) bei einer Spannung von U = 220 V. t^n (p = R(V{coL)-coC);(p = -24,1°.
Losung: Fiir die Stromstarken gilt:
a) Reihenschaltung I^=U/R = 0,1467 A;
i^-L-Schaltung: k = UY^ = -jUI{coL)
Nach Gl. (1-88) in Bild 1-46 ist = -7 0,280 A.
/c = IZ Ic = UjcoC =7 0,3456 A.
X L = coL=1007cs-^-2,5 H = 785,4 Q.
Der Gesamtstrom I^^^ errechnet sich aus:
EsgiltGl.( 1-91) in Bild 1-46
/ges=/R+/L+/c;
ZRL=1500Q+7 785,4a,
/ges = 0,1467 A -7 0,280 A +7 0,3456 A
ZRL = V(1500n)2 +(785,4 0)2 = j593 ^^ = 0,1467 A+7 0,0655 A;/ges= 161mA, (^ = -24,1°.
tan (p = 785,4 a/1500 a\(p = 27,6°.
i?-C-Schaltung: 1.6.3.5 Aquivalente Umwandlungen
Nach Gl. (1-89) in Bild 1-46 ist Bei gleicher Frequenz / (bzw. Kreisfrequenz co)
Xc=l/(a>C) = 636,6 n. laBt sich jede Reihenschaltung von komplexen
Es gilt nach Gl. (1-94) in Bild 1-46 Widerstanden in eine aquivalente Parallelschal-
ZRC= 1500 a - y 636,6 a, tung verwandeln und umgekehrt. In Bild 1-48 a
ZRC = V(1500n)2 + (-636,6 n)2 = 1630 Q, ist die Reihenschaltung (Index r) und in Bild
l-48b die Parallelschaltung (Index p) zu sehen.
tan (^ = -636,6 a/1500 n;<^ = -23°.
a) Reihenschaltung b) Parallelschaltung
i?-L-C-Schaltung:
Es ist^L = 785,4 a; X^ = 636,6 a;R= 1500 Q
ZRLC = 1500 a +j (785,4 Q - 636,6 Q)
= 1500 a + 7 148,8 a,
ZRLC = V(1500a)2 +(148,8 a)2 = 1507 Q^
tm(p= 148,8 a/1500a; </)= 5,66°.
U 1 U

b) Parallelschaltung Bild 1-48. Aquivalente Umwandlungen: a) Reihen-


i?-I-Schaltung: schaltung, b) Parallelschaltung.
Nach Gl. (1-105) in Bild 1-47 ist
Parallelschaltung und aquivalente
Y^=\IR'jlcoL Reihenschaltung
= 6,67 • 10-^a-» -j 1,27 • 10-3 Q-\
Da der komplexe Widerstand der Reihenschal-
7RL = V(l/1500a)2 + (l,27- lO-'Q-'f tung Zj. gleich dem komplexen Widerstand der
= 1,44- 10-3a-i = l,44mS Parallelschaltung Z^ sein muB, gilt Z^ = Z^
tan <^ = i?/a>L =1,91; <^= 62,3°. Oder
44 1 Grundlagen der Elektrotechnik

R^+JX^^-M^ Gemischte Parallelschaltung


Beispiel
Um den Nenner in eine reelle GroBe zu iiber- 1.6-4: Gegeben ist die Schaltung nach Bild 1-49. Ge-
fuhren, wird der Bruch auf der rechten Seite mit sucht sind die Teilstromstarken /^ und /2, die gesamte
der konjugiert-komplexen Zahl {R^—jX^) er- Stromstarke I^^^ sowie der komplexe Scheinwider-
stand Z und die Phasenverschiebung cp.
weitert:
J /?i = 8 « CI = 5 0 M F

{R^+jX^)iR^-jX^)
_R,Xl+jRlX^ = 0,4H /?o=18r2
Rl + xl
Werden die Realteile und die Imaginarteile
gleichgesetzt, so ergibt sich ty=12VeJ0^;/^=50Hz
Bild 1-49. Schaltung zu Beispiel 1.6-4.
R=A^ (1-116) Losung:
^p + ^p Fiir den komplexen Widerstand Zj gilt nach 01. (1-94) in
Bild 1-46
z. = - ^ i ^ (1-117) Zi = R^ -j (l/ci>Ci) = 8 a - ; 63,66 Q.
Rl + xl' = 64,2 a e-^«2.8° (a).

Der komplexe Widerstand Z2 ist nach 01. (1-93) in Bild


Reihenschaltung und aquivalente 1-46
Parallelschaltung Z2 = ^2 + 7 ^ ^ 2 = 18 a+7125,7 a
Auch hier gilt die Gleichheit des komplexen = 127 a e^'^'-' (b).
Leitwertes der Reihenschaltung 7, = 1/Z, mit Die Teilstrome ergeben sich zu
der Parallelschaltung Yj,; _U _ 12 e^Q V
^ ' ~ z ' ~ 6 4 , 2 e - ^ « 2 , 8 ° Q = 0,187 e^«
1
Y = = l/K,-j(l/^p). = 0,0233 A+7 0,186 A (c).
' Rr+JXr
U
J ==L =
12 e^'^ IV = 0 0Q44 e-^8i,8°
ZZZ
Wird der Nenner wieder reell gemacht (durch -' Z, 127e^«i.«°a ^'"^^^^
Erweitern mit dem konjugiert komplexen Wert = 0,0134 A - 7 0,0934 A (c).
Kp-;X,),sogilt
Der Oesamtstrom/ge3 errechnet sich aus der Addition der
Rr 1 1 Teilstrome:
Rf^Xf ^Rf + Xf R^ ^X/ /ges = /i + /2 = 0,0367 A +j 0,0920 A
= 0,0991 A e>^«'2°(d).
Aus dem Vergleich der Real- mit den Imaginar- Da die BezugsgroBe t/= 12 e^^ V war, eih der Strom um
teilen erhalt man 68,2° der Spannung voraus.
Fiir den komplexen Oesamtwiderstand ergibt sich
Zges = Z / 4 , = (12 e^o V)/(0,0991 e^^^'^" A)
= 121 a e- ^^^'2"^ = 44,9 a -y 113 a.
(Der gleiche Wert kann auch iiber die Formel des
Gesamtwiderstandes bei Parallelschaltung Zg^^ =
{Z^Z^I{Z^ +Z2) errechnet werden; oder durch Ad-
dition der komplexen Leitwerte).
1.6.3.6 Zusammengesetzte Schaltungen
Wien-Glied als komplexer Spannungsteiler
An ein paar Beispielen soil gezeigt werden, wie
einfach mit zusammengesetzten Schaltungen Beispiel:
gerechnet werden kann, wenn die komplexen 1.6-5: Bild 1-50 zeigt ein Wien-Glied. Berechnet wer-
Widerstande Z verwendet werden. den soil die komplexe Ausgangsspannung Uj •
1.6 Grundlagen der Wechselstromlehre 45

f = 100 Hz Die Fourier-Koeffizienten UQ , a^ und h^ sind die


Amplituden der einzelnen Schwingungsbeitrage
und errechnen sich aus der periodischen Wech-
selgroBe y{t) nach folgenden Gleichungen:
_LCi = 0,2 )uF
^1 = 12e'0°
"J 2 ^
i 0
(1-121)
/?2=12kq :O,1MF
2 ^
(1-122)
I 0

2 ^
Bildl-50. Wien-Glied. ^k = ^ J}^Wsin(/ca}Odr (1-123)
(fiir /c = 1, 2, 3,...).
Fiir die komplexe Spannung t/2 gilt nach dem Span-
nungsteiler, wenn die komplexen Widerstande Z^
(aus Reihenschaltung R^ und C^) und Z2 (aus Paral- Haufig werden die Amplituden der Schwin-
lelschaltung von R2 und C2) eingesetzt werden: gungsbeitrage, d.h. die Fourier-Koeffizienten,
1 im Frequenzbereich dargestellt, so daB sich das
^ 2 = C/i = ^1 Amplitudenspektrum ergibt. Bild 1-51 zeigt die
Z1 + Z2 " ' l + (Zi/Z2)
Fourier-Zerlegung einer Rechteckschwingung
da 72 = V-^2 ist, wird L/2 = L/i/(l + Z^ 72)- in die Schwingungsanteile und in das Amplitu-
denspektrum.
Es ist nach Gl. (1-94) in Bild 1-46
Zi = 9 • 10^^ - y 7,96 • 10^^ = 12,0 • 10^ Q-J^^^^^Cl
und Y2 nach 01. (1-108) in Bild 1-47
I2 = (1/12000) n-i +7 6,283 • 10-^n-i
= 1,044- 10-^e>^^'«2°n-i.
Werden die beiden GroBen multipliziert, so ergibt sich
Zi I2 = 1,254 Q-J^^''\ Damit ist
12e>Q _ 12e>»
~ ^ ~ 1 +1,252 e-^'4 2,25-7 0,098

t/o = 5,32e^'2'5°V.
Der Effektivwert der Ausgangsspannung betragt 5,32 V,
sie eilt der Eingangsspannung \xm(p= 2,5° vor.

1.6.4 Nicht sinusformige WechselgroBen


Jede periodische WechselgroBe y{t) kann nach periodische <2<x Amplituden-
Fourier (J. B. J. FOURIER, 1768 bis 1830) in eine WechselgroBe <c^ Spel<trum

Reihe von elementaren Cosinus- und Sinus-


schwingungen zerlegt werden. Die auftretenden Bild 1-51. Fourier-Analyse einer Rechteckschwin-
gung.
Kreisfrequenzen sind dabei ganzzahlige Viel-
fache der Grundkreisfrequenz, welche die peri-
odische WechselgroBe beschreibt. Somit gilt Werden die Symmetrieeigenschaften bestimm-
ter Funktionen beriicksichtigt, dann entfallen
entsprechende Fourier-Koeffizienten, wie Bild
y{t) = --^ E (flkcos(/cco0) + 1-52 zeigt.
Bild 1-53 zeigt den Kurvenverlauf y (t) und die
Impulsfolge fiir Rechteck, Dreieck und Sage-
+ Z ( \ sin (i^ CO 0). (1-120) zahn sowie das Amplitudenspektrum mit den
dazugehorigen Fourier-Reihen.
46 1 Grundlagen der Elektrotechnik

Funktionsart Fourier-Koeffizienten die Anzahl der Spektrallinien bis zur Nullstelle


g erade • y (t = y (- f )
aus dem Kehrwert des Tastverhaltnisses ergibt.
y, Die Impulsform (z. B. Rechteck- oder Dreieck-
impuls) ist erst an den spater folgenden Ampli-
(nur Cosinus-Glieder) tuden erkennbar.
(wenn 7"^ i^ 7'2,dann ist
f
30=5^0)
In Bild 1-54 sind am Beispiel periodischer
Rechteckimpulse ( r = lms) die Funktionen
^ und das AmpHtudenspektrum fiir unterschied-
ungerade : V(f) = - y ( - f ) liche Tastverhaltnisse aufgezeichnet. Daraus ist
y. folgendes deutlich ersichtlich: je kleiner das

n,, .
1' 30= 0
Tastverhaltnis (d.h. je langer der Abstand
a, = 0
zwischen den einzelnen Impulsen), desto lang-
(nur Sinus-Glieder)
%} U ' samer nehmen die einzelnen Fourier-Koeffizien-
ten a b.
= - y f)
y ao= 0 1.6.5 Dampfung und Verstarkung
3 2 = 34 = . . . = 0
Eingangsleistung P^ und Ausgangsleistung P2
/?2 = -^4 = • • • = 0

-IT TT 7 (nur Glieder mit ungeradem


eines Elementes im Signalweg, beispielsweise
Index) eines Verstarkers, eines Kabels, einer Funk-
strecke oder eines Filters, konnen oft Unter-
Bild 1-52. Fourier-Koeffizienten und Symmetrieeigen- schiede von mehreren Zehnerpotenzen aufwei-
schaften von Funktionen. sen. Dieser groBe Dynamikbereich wird vorteil-
hafterweise durch ein logarithmisches Verhalt-
Im folgenden werden mit Hilfe der Fourier- nis zwischen Eingangsleistung P^ und Aus-
Analyse Rechteckimpulse der Hohe ^ und der gangsleistung P2 (log(A/^2)) angegeben, das
Zeitdauer T^ untersucht, die mit der Perio- Dampfung a genannt wird. Obwohl es sich um
dendauer T wiederkehren (Bild 1-53 b). Daraus VerhaltnisgroBen handelt, die eigentUch keine
ist das Tastverhdltnis a zu ermitteln: Einheit besitzen, ist es iiblich, die Dampfung a
in dB (Dezibel) anzugeben (Bel, nach A. G.
a = TJT. (1-124) BELL, 1847 bis 1922), so daB gilt:

Nach Gl. (1-121) bis Gl. (1-123) ergeben sich


die Fourierkoeffizienten fur eine symmetrische
Funktion zu
Ist der Eingangs- und der Ausgangswiderstand
ao = — J dt = —-y; gleich groB (z. B. bei vollkommener Leistungs-
^ -ri/2 ^
anpassung), dann gilt P = U^/R und man erhalt
2y +^//2
ay. = — J COS {k CO t) dt fur die Dampfung der Spannungen:
T -ri/2
4j) a = 10 log
smlkco — ] = -— sm\kn--
kcoT 2 / kn
da, R^ = R2 = R ist, giU auch
sin /c 71 „
T, V T
= 2y-; —- , a = 1 0 1 o g ( ^ ) = 101og oder

T
Das Amplituden-Spektrum weist als einhiil-
lende Kurve die Form sin(x)/x auf, deren erste
Nullstelle bei x = TI liegt. Daraus folgt in unse- Bei einer Dampfung ist die Ausgangsspannung
rem Fall k = T/T^ = 1/a. Das bedeutet, daB sich U2 kleiner als die Eingangsspannung L/^, wes-
1.6 Grundlagen der Wechselstromlehre 47

Kurven- bzw. Impulsform Amplituden-Spektrum (MeBwerte ohne Gleichspannungsanteil)

Fourier-Reihei
> 0,7 >1,0 900 4y r 1
S 0,35 -h y y ( f ) = — sin(a;f)+-sin(3cof)
IT L 3
(TT)
0)0,6
i -0,35 \- rSin(5cof) +
^ -0,7 10,4 3001

ta
CD

1 1 1 1 c^0,2 180' A129 ,100


I,
400 800 1200 1600
Zeit t / jjis 0 3 5 7
a) Rechteck-Kurve Frequenz f / kHz

Fourier-Reihe . 2yr.
=ya+— sii (7ra)cos
sin {cjt-ira)
7-1 1 200 r
7-1 = 0,4 ms j - Q
+ -sin(27ra)cos<2 (cof-7ra)>
0,38
_
:_ A
-Y -sin (37ra)cos<< 3 ( a ) f - 7 r a ) > + ...
0 1,0 2,0 3,0 4,0 5,0

T = 2,4 ms Zeil f / ms

LJMUJiMi\yJWM\>i10
2 4 6 8
b) Rechteck-lmpuls Frequenz f / kHz

700 Fourier-Reihe
6101
600 , , 8y fsinlojf) sin (3
>
E 500
sin(5cof) 1
^ 400

I 300
I 200
CO
65-
22
100
0 0,2 0,4 0,6 0,8 1,0 1,2 1,4 1,6
Zeit f / jus 3 5 7
O"-
c) Dreieck-Kurve Frequenz f / kHz

Fourier - Reihe (Verschiebung urn 7^ / 2)


y« 4y r ^f n \
+ 5 sin'' [—a] -cos {cot-n a)
2 an'^l \ 2 J
T= 1 ms Il-l — sin^ f— a) • cos (2 {(ot-n a))+
T 5

— sin^f—«)-cos (3 (wt-;r «))+..

0 0,2 0,4 0,6 0,8 1,0 1,2 1,4


1J I Zeitf/ms
2 3 4 5 6 7 8 9 1 0
d) Dreieck-lmpuls Frequenz f / kHz

Fourier-Reihe
600
2y r 1
> y(f) = — sin(cof) - - sin(2cof)
+0,82 ^ynr ^
y
> 500 TT L 2
::5

3
Iv / 2 400 + - sin(3cof)- +
••]
C
C X ( T T ) ^ ?300
3
a. -0,82
c200

0
,J. ,. 1

Zeit f / jus
i. l...... 1 , .„J_ -J
0,2 0,4 0,6 0,8 1,0 1,2 1,4 1,6 1,8
L ^ 100
0 1
tto
2 3 4 5 6 7
.46 ,

8 9 10
3) Sagezahn-Kurvei Frequenz f / k H z

Bild 1-53. Amplitudenspektrum verschiedener periodischer, nicht sinusformiger Wechselspannungen.


48 1 Grundlagen der Elektrotechnik

halb das Verhaltnis UJU2 > 1 und der Loga- fung a fiir eine Ausgangsspannung von I/2 = 8 V und
rithmus positiv ist. Bei einer Verstdrkung ergibt b) fur eine Ausgangsspannung U^ = 20 V.
sich ein negativer Dampfungswert {U JU2 < 1). Losung-
Haufig wird auch a = 10 log (P2/A) ^ ^ angege-
ben. In diesem Fall ist die Verstarkung positiv ^^ ^^' ^'^ Dampfung a gilt nach Gl. (l-125b):
und die Dampfung negativ. ^ ^ 20 log ( — ) = 3,5 dB.

Beispiel b) Nach Gl.(l-125b) ist:


/12V\
1.6-6: An einer Schaltung liegen als Eingangsspan- a = 20 log I I = — 4,4 dB, d.h. es liegt eine
nung (/i = 12 V. a) Berechnet werden soil die Damp- V / Verstarkung um 4,4 dB vor.

Rechteckimpuls Amplituden-Spektrum (MeBwerte ohne Gleichspannungsanteil)

400 1458
2TT
T= — = 1 ms; -
T, CO T 2 > 300
1 E

^200
0,2 0,4 0,6 0,8 1,0 1,2 1,4 1,6 C 152
C
Zeit f / ms 03

(^100
r ,64 50

n _J
3 LJ L_ J7 L JL
5
Frequenz f I kHz

400 r

7" 5 > 300 ^


Ty E 255
1
21C
?200^
1 1
150
0 2 0,4 0,6 0,8 1,0 1 2 1,4 1,6
Zeit 11 ms
CO 1 0 0 h 1
^75

1 1I J 1
[ J I i I .J°i 30
2 3 4 5 6 7
55 55
\ \
8
u
9
35J
11
10
Frequenz f I kHz

160
140
135
7"i_ 1 125
> 120
7"i r 10 110
1
;90
80
75
1 1 1
0,2 0,4 0,6 0,8 1,0 1,2 1,4 1,6 I 56
Zeit f / ms CO 40 r40-
22

L i
1 2
1.1 L J IJ
3 4 5 6 7 8 9
I J
i) ULi 10
Frequenz f I kHz

Bildl-54. Impulsfolge und Linienspektrum eines Rechteckimpulses mit unterschiedlichen Tastverhdltnissen:


a) Tastverhdltnis a = 112, b) Tastverhdltnis a = 1/5, c) Tastverhdltnis a = 1/10.
1.6 Grundlagen der Wechselstromlehre 49

Wird der Eingangswert auf eine BezugsgroBe Beispiel


bezogen, dann bezeichnet man die Dampfung 1.6-7: Eine Verstarkerkette mit 5 Gliedern verstarkt
als Pegel L. Haufig werden die Leistungen am jeweils um folgende Faktoren: 7^ = 20, F2 = 0,l,
Anfang oder am Ende einer Ubertragungs- 1/3 = 40, V^ = 20 und V^ = 5. Wie groB ist die Ge-
strecke nicht in absoluten LeistungsgroBen an- samtverstarkung ?
gegeben, sondern auf 1 mW bezogen. An die
Einheit dB wird ein m angefugt, und es steht Losung:
dBm. Statt 500 m W wird in diesem Fall angege- Nach Gl. (1-126) ist die gesamte Verstarkung
ben: ^ges = fli + «2 + ^3 + ^4 + «5 "^it
, /500 m W \ a, = 20 log (20) = 26 dB, a^ = 20 log (0,1) = - 20 dB,
L= lOlog dBm = 27dBm . fl3 = 20 log (40) = 32 dB, a^ = 20 log (20) = 26 dB und
\ ImW /
^5 = 20 log (5) = 14 dB. Daraus ergibt sich
Die professionelle Hochfrequenztechnik arbei- a^^^ = + 26 dB - 20 dB + 32 dB -h 26 dB + 14 dB
= 78 dB.
tet mit R = 50Q. Der Pegel L fur die Leistung
1 m W ist
1.6.6 Shannonsches Abtasttheorem
L = 10 log (1 mW/1 mW) dBm = 0 dBm .
Kontinuierliche Kurvenverlaufe mussen in der
Soil die Spannung berechnet werden, dann muB Digitaltechnik (Abschn. 11) in diskrete Signale
der AbschluBwiderstand bekannt sein. Es gilt zerlegt werden konnen. Dies geschieht dadurch,
P = Ul/R, aus dem folgt UQ = V P ^ . daB der kontinuierhche Kurvenverlauf in kon-
stanten Zeitintervallen T abgetastet wird, wie
In der Fernsprechtechnik bei niedrigen Fre- Bild 1-55 zeigt. Aus diesen diskreten Werten
quenzen sind die Speise-, Leitungs- und Ab- kann man auf die Kurvenform schlieBen.
schluBwiderstande R = 600 Q.
Damit wird fur die Fernsprechtechnik:
y(f)i

/ •m\
OdB a n 6 0 0 Q e r g i b t
Uo = V l m W - 6 0 0 Q = 775 mV;
fur die Hochfrequenztechnik gilt:
M
\
OdB an 50Q ergibt
Bild 1-55. Abfasten einer kontinuierlichen Kurve im
Uo = V l m W - 5 0 Q = 224 mV. Zeitintervall T.

In der Hochfrequenztechnik sind die Begriffe Ganz entscheidend fur die richtige Umwand-
Leistungspegel Lp bzw. Spannungspegel L^ ge- lung ist die Frage, wie groB das Abtastintervall
brauchlich, wobei gilt T hochstens sein darf, damit die Kurvenform
eindeutig bestimmt ist und keine Information
verlorengeht. Fiir eine Kurve mit der Band-
Lp = 10 log 1 mit PQ =ImW (1-126) breite B (Bild 1-56 oben) gilt das Shannonsche
KPO)
und Abtasttheorem (SHANNON, geb. 1916) fur das
/ TJ ^ Tastintervall T oder fur die Beziehung zwischen
Lu == 20 log ) mit UQ= 0,224 V. Signalfrequenz /sig„ai ^^^ Tastfrequenz fj^^^:
[K / (1-127)
T < ^ Oder /Tast>2/signai- (1-129)
In der Ubertragungstechnik kann die gesamte
Dampfung a^^^ einer Strecke als die Summe aus
den Dampfungen a^ der einzelnen Ubertra- Das bedeutet, daB bei der Digitahsierung ana-
gungsglieder i ermittelt werden, so daB man loger Kurvenverlaufe die Tastfrequenz minde-
schreibt stens doppelt so groB sein muB wie die Signal-
frequenz. Fiir eine einfache Sinusschwingung
= a^ + a2 + ^3 + , + «n (1-128) bedeutet dies, daB je Periode mindestens zwei
Tastwerte erfaBt werden miissen. Mit Hilfe der
50 1 Grundlagen der Elektrotechnik

Fourier-Analyse (Abschn. 1.6.5) ist allgemein Um die periodischen Anteile des Signals heraus-
erklarbar, daB beim Abtasten das Amplituden- zufiltern, muB ein Tiefpafifilter nachgeschaltet
spektrum im Frequenzbereich periodisch wie- werden. Wie in den Teilbildern 1-56 a und b rot
derholt wird. In Bild 1-56 sind die Fourier-Am- eingezeichnet, ist damit die eindeutige Riickge-
plitudenspektren fiir unterschiedliche Tastver- winnung des Ausgangssignales moglich. Die
haltnisse schematisch dargestellt. Steilheit des TiefpaBfilters ist dabei von der
Entscheidend fur das Shannonsche Theorem Liicke zwischen den beiden Amphtuden abhan-
ist, daB das Amplitudenspektrum bandbreiten- gig. Wird das Abtasttheorem gerade erfiillt
begrenzt ist (Bild 1-56 oben). Aus Bild 1-56 ist (Bild 1-56 a), so ist ein sehr steiles Filter (nahe
ferner ersichtlich: Ist das Abtasttheorem erfiillt, einem idealen TiefpaBfilter) zur Trennung des
dann sind die Amplitudensignale gerade von- Ausgangsspektrums notwendig. Fiir Teilbild
einander zu unterscheiden (Teilbild a). Wird l-56b braucht wegen der Liicken im Spektrum
haufiger als erforderlich abgetastet, dann ent- das TieBpaBfilter nicht so steil zu sein und kann
steht zwischen den Amplituden eine Liicke; das deshalb einfacher ausgefiihrt werden. Dies wird
Signal ist aber gut zu erkennen (Teilbild b). Ist beispielsweise bei CD-Spielern ausgenutzt, bei
das Abtastintervall zu groB, dann iiberlappen denen die Informationen mehrfach abgetastet
die Amplitudensignale und die Ausgangskurve werden (engl.: over sampling) und somit teilweise
ist nicht eindeutig zu bestimmen. In diesem Fall groBe Lucken im Amplitudenspektrum entste-
entstehen Aliasing-Effekte, bei denen statt der hen.
tatsachlich vorhandenen hoheren Frequenz Die strikte Beachtung des Abtasttheorems ist
falschlicherweise eine niedrigere gemessen wird. besonders wichtig beim Einsatz von Analog-
Werden diese Signale digitalisiert, so entstehen Digital-Wandlern (Abschn. 10) und bei der Ver-
Fehler, die nicht mehr zu erkennen und damit wendung von digitalen MeBgeraten. Gerade bei
nicht mehr zu korrigieren sind. MeBgeraten ist der Zusammenhang zwischen

Shannonsches
Abtasttheorem

Kurvenverlauf

a) Abtasttheorem erfiillt b) haufigere Abtastung c) seltenere Abtastung


1 1 1
7-1 < :
' 2B
y(f)t y(f) y(?)f

0 T^ 2T^ 3T^ AT^ 57/

Amplitudenspektrum

steiler
TiefpaB TiefpaR

1 -B +B 1

Bild 1-56. Wirkung verschiedener Abtastintervalle T.


1.8 Grundlagen der Halbleiterphysik 51

Einschwingzeit t^ (entspricht der Abtastzeit U 1.6-4: Eine Antennenanlage besitzt einen Quell-
^Tast = V/xast) ^nd Grenzfrequenz /g^enz zu be- widerstand von 75 Q und gibt eine Spannung (7^ =
achten, der sich nach dem Shannonschen Ab- 600 [iV ab. Die gesamte Leitung weist eine Dampfung
vonflLeit= 8 dB auf, der Verteiler von ay = 5 dB und
tasttheorem (Gleichung 1-129) folgendermaBen
die Weiche von a^^ = 12 dB. Der eingebaute Verstar-
bestimmt: ker hat eine 30fache Spannungsverstarkung v. Wie groi3
ist die gesamte Dampfting a^^^, die Eingangsleistung P^i^
und die Ausgangsleistung P^^^l
U 1.6-5: CD-Spieler sind in der Lage, Frequenzen bis
zu 20 kHz zu ubertragen. a) Welches ist die maximale
Abtastfrequenz nach Shannon? b) Was versteht man
Ein abtastendes MeBgerat muB den Wert zwi- unter einem „8fach-Oversampling"? c) Weiche Ab-
schen zwei MeBzeitpunkten aufnehmen, verar- tastfrequenz hat ein solcher CD-Spieler? d) Welchen
beiten und weiterleiten oder speichern. Vorteil hat das Oversampling?

Beispiel
1.6-8: Das Telefonnetz besitzt eine Bandbreite von 1.7 Messung elektrischer GroBen
B = 3,4 kHz. Wie groB darf das Abtastintervall bei
Fiir die Messung elektrischer GroBen sowie zur
der Umwandlung in digitale Signale hochstens sein?
Entwicklung und zum Test elektronischer Bau-
Losung: elemente stehen eine Vielzahl von MeBgeraten
zur Verfiigung, die in Bild 1-57 zusammenge-
Nach dem Shannonschen Abtasttheorem (s. Gl. 1-129)
stellt sind. Dieses Bild soil nicht nur eine tjber-
gilt T= 1/(2 • 3400 Hz) = 147 |is.
sicht iiber die Vielzahl der MeBgerate geben,
Die Tastzeit muB also mindestens 147 jis betragen. In
sondern soil dem Leser auch Anhaltspunkte lie-
Wirkhchkeit wird alle 125 jis abgetastet.
fern, mit welchen Geraten seine speziellen MeB-
aufgaben zu losen sind.
Zur Ubung
U 1.6-1: Eine Wechselspannungsquelle mit / = 50 Hz
und U = 160Y speist eine Reihenschaltung aus einem 1-8 Grundlagen der Halbleiterphysik
Kondensator C = 50 |iF und einer verlustbehafteten
Spule, deren reiner Blindwiderstand hier j 60 Q be- Die Eigenschaften der Halbleiterbauelemente
tragt. In diesem Kreis fliefien 1,2 A. sind eng verknupft mit den physikalischen
a) Wie groB ist der ohmsche Widerstand der Spule? Eigenschaften der Halbleitermaterialien. Zum
b) Wie groB ist der komplexe Widerstand der Ge- besseren Verstandnis der entsprechenden Ab-
samtschaltung? schnitte w^erden deshalb einige fundamentale
c) Wie groB ist der Phasenwinkel zwischen Strom GesetzmaBigkeiten der Physik der Halbleiter
und Spannung? vorangestellt.
d) Berechnen Sie den komplexen Leitwert und die
Werte fiir Wirk-, Blind- und Scheinleitwert.
1.8.1 Materialien
U 1.6-2: Folgende Bauteile sind vorhanden: Ein Wi-
derstand mit R = 500 Q, eine ideale Induktivitat mit Halbleiter sind Festkorper, deren spezifischer
L = 4,6 H (widerstandslos) und ein Kondensator mit elektrischer Widerstand stark temperaturab-
2,5 |aF. Sie werden bei / = 50 Hz betrieben. hangig ist. In der Nahe des absoluten Tempera-
a) Berechnen Sie den komplexen Widerstand einer turnullpunkts sind sie perfekte Isolatoren; bei
Reihenschaltung aus R-L, R-C und R-l^C.
hoheren Temperaturen (z. B. bei Raumtempera-
b) Berechnen Sie den komplexen Widerstand einer
Parallelschaltung aus R-L, R-C und R-L^C. tur) weisen sie eine elektrische Leitfahigkeit auf.
Der spezifische Widerstand der Halbleiter liegt
U 1.6-3: Gegeben sind zwei komplexe Widerstande. etwa im Bereich 10"^ bis lO^Qcm.
Zi ist eine Reihenschaltung aus 1000 Q und 6 |iF, Z2 Die Elemente Silicium, Germanium und graues
ist eine Reihenschaltung aus 500 Q und 0,6 H. Beide
Zinn (a-Sn) aus der IV. Gruppe des Perioden-
werden aus 230 V und 50 Hz gespeist. Gesucht sind
die komplexen Widerstande der Zweige Z^ und Z2, systems werden als Elementhalbleiter bezeich-
die Teilstrome 1^ und 12, der gesamte Strom I^^^ und net. Aufgrund seiner physikalischen Eigen-
die Phasenverschiebung (p zwischen Speisespannung schaften und der guten technologischen Verar-
und Gesamtstrom. beitbarkeit ist Si mit Abstand der wichtigste
52 1 Grundlagen der Elektrotechnik

Gerateart MeBgroBen - MeBbereich MeBverfahren

analog 10mV= bis 5kV= 500mV- bis 5 k V - Q Drehspulinstrument als


10^A= bis30A= lOO^iA- bis 3 0 A - kQ Strommesser mit Vor- und
MQ und Parallelwiderstand
und Gleichrichter
ivi uiuiiic icr
fiir Strom, digitales wie oben 1-3 Messung/S AD-Wandler fiir Gleich-
spannung mit elektroni-
Spannung, Handgerat
schem Gleichrichter; Hilfs-
Widerstand
schaltungen fur R und C
(Kapazitat)
digitales ^iV bis kV R, C in groBem Bereich wie oben, aber alle Son-
Tisch- Oder nA bis kA (iiber Shunt) derformen; schnell genau,
Einbaugerat groBer MeBbereich

Ablenkung eines Elek-


analog, tronenstrahls in zwei
direkt- Achsen; X-Achsen:
anzeigend Id Zeit; Y-Achse: Span-
nung; Anzeige direkt
Spannungsverlauf als Funktion der Zeit. auf dem Bildschirm
Mehrere Kanale (4) parallel mV bis 500 V.
wSZlllOSKOp - - Gleichstrom bis 300 MHz (1 GHz) analog.
Gleichstrom bis 100 MHz (digital). Sehr schnelle AD-
Wandler (Flash); Spei-
digital, cherung der Einzel-
iiber 3 meBwerte in Halblei-
Speicher u. terspeichern; Erzeu-
Bildschirm gung eines syntheti-
schen Bildes auf dem
Bildschirm

Zahler - Frequenz: 1 Hz bis 20 GHz Ereigniszahlung in einem


- Ereignisse: Anzahl Zeitfenster; Quarzzeitbasis:
- Zeitdifferenz zwischen 2 Ereignissen extrem genau und stabil

Funktionsgeneratoren zur 0,001 Hz bis 20 MHz; ±10V Ableitung vom Rechteck-


Erzeugung periodischer generator; symmetrisch
Wechselspannungen Oder unsymmetrisch ein-
stellbar

Impulsgeneratoren regelmaBig langere periodische Funktionen direkt einstellbar;


programmierbar

Hochfrequenzgeneratoren 100 kHz ^ 20 GHz, 10 mW, 50 Q Synthesizer


nur Sinusschwingungen

Bild 1-57. Mefigerdte und ihre Eigenschaften.


1.8 Grundlagen der Halbleiterphysik 53

Technische Besonderheiten Einsatzgebiete Auswertung Ausdruck Geratebild


durch des
Rechner Ergebnisses

Genauigkeit 1-5% bildet BetriebsmeBgerate nein nein


keine Fremdenergie! Mittel- LabormeBgerate
wert

Genauigkeit 0,1-1% nur fiir Betriebs- und nein nein


Fremdenergie notig! konst. Laborgerate ^^^•i m m m m 4»^^^H
GroBen

Genauigkeit 10""^ bis 10"^ Laborgerate ja ja


Oder besser; langzeitkonstant automatische
Mefireihen
Prazisionsmes-
sungen

Genauigkeit 1 bis 5% Labormessungen nein Sofortbild-


Keine Quantisierungsfehler bei der Entwick- kamera
Vorwiegend fiir periodische lung elektroni-
Signale mit haufiger Wie- scher Schalter
derholrate B 1
B / » i» «p ^

Genauigkeit 0,5 ^ 5% wie oben ja ja


Durch kontinuierliche Speiche- Oberwachung u.
rung laBt sich die Vorgeschichte Aufzeichnung Jf 1
eines Ereignisses darstellen! seltener Ereig-
nisse, langsamer
Ereignisse ...

Genauigkeit 10"^ bis 10"^ Frequenzmessung Klein- Klein-


Zeitmessung gerate gerate
Ereigniszahlung nein nein

a
Laborbetrieb
tJberwachung GroB- GroB- 1 * v^/ *<* \* 1
anderer Gerate gerate gerate
ja ja

Einfache Erzeugung verschie- Signalerzeugung Klein- nicht


dener Signalformen. Frequenz- fiir die Enwick- gerate anwendbar
genauigkeit gering (1 bis 5%). lung, Fertigung nein
Preisgiinstig, vielseitig und tJberwa-
chung elektroni- GroB- nicht
gute Frequenzgenauigkeit bei scher Gerate gerate anwendbar
Synthesizern ja

lo-'-io-^
sehr genau, da quarzgesteuert
sehr reine Signale bei guten
Geraten
54 1 Grundlagen der Elektrotechnik

Gerateart MeBgroBen - MeBbereich MeBverfahren

Logikanalysator Messung schnell wechselnder paralleler Daten Paralleles Aufzeichnen der


8 Bit/16 Bit/32 Bit? Registrierung der Logischen Daten in Halbleiterspei-
Pegel. Auch als 8-Strahl-Oszilloskop fiir chern. Umrechnen nach
Logische Pegel nutzbar. Keine Analogsignale Bedarf; vielfaltige Dar-
auswertbar stellung als Diagramm
oder Zahlenliste

Spektrumanalysator Schmalbandige Messung der Leistung als Automatisch durchstimm-


Funktion der Frequenz barer HF-Empfanger; An-
100 Hz ^ 2 2 GHz zeige des Pegels als Funk-
-SOdBm ^ +30dBm tion der Frequenz auf dem
Bildschirm; Pegelanzeige
logarithmisch (dB) oder
linear

NF-Analysator Im Prinzip wie der HF-Spektrumanalysator. Anzeige entweder direkt


auf dem Bildschirm oder
iiber Speicher

Netzwerkanalysator Messung komplexer GroBen Pegelmessung und Phasen-


Spannung, Widerstand, Ubertragungsfaktor messung als Funktion der
von HF-Schaltungen u. HF-Teilen Frequenz; Anzeige auf dem
1 MHz ^ 2 2 GHz Bildschirm

Bild 1-57. Mefigerdte und ihre Eigenschaften (Fortsetzung).

Halbleiter uberhaupt. Die Atome der Element-


halbleiter haben vier Valenzelektronen, die mit
jeweils vier nachsten Nachbarn Elektronen-
briicken bilden {kovalente Bindung). Raumlich
ordnen sich dahei' die Atome so an, wie es das
Modell in Bild 1-58 zeigt: Jedes Atom sitzt im
Zentrum eines Tetraeders, an dessen vier Ecken
die nachsten Nachbarn angeordnet sind. Kri-
stallographisch wird diese sog. Diamantstruktur
auch als kubisch flachenzentriertes Gitter be-
schrieben.
In Bild 1-58 ist ein Wiirfel als Elementarzelle
gekennzeichnet, an dessen Ecken und Flachen- f%^vt
mitten jeweils ein Atom sitzt. Zu jedem dieser
Atome gehort ein zweites, das in Richtung der
Raumdiagonale des Wiirfels um ein Viertel der-
selben verschoben ist. Auch die wichtigsten
Raumrichtungen im Kristall sind angegeben. Bild 1-58. Kristallgitter von Diamant und Zink.
1.8 Grundlagen der Halbleiterphysik 55

Technische Besonderheiten Einsatzgebiete Auswertungl Ausdruck Geratebild


durch des
Rechner Ergebnisses

Aufzeichnung meist nur bei Entwicklung! ja ja


jedem neuen Ereignis. Aus- Fehlersuche bei
losung durch einzelne oder der Entwicklung,
mehrere wahlbare Ereignisse. Fertigung, Repa-
Durch kontinuierHche Spei- ratur von Mikro-
cherung Vorgeschichte erfaB- prozessorsystemen
bar. Gute Gerate sind sehr KontroUe der
vielseitig einsetzbar. Uber- Daten an einem
sichtHche Darstellung BUS. Auch fiir
komplexe Digital-
schaltungen

Frequenzbereich, Bandbreite, Hochfrequenz- rem Sofortbild


Mefigeschwindigkeit, Pegel meBtechnik aller analoge
u. a. einstellbar. Sehr groBer Art. Entwicklung, Gerate
Dynamikbereich durch loga- Priifung, Uber- nein
rithmische Darstellung. wachung von
Leistungssteigernde Daten- HF-Schaltungen, Digitale ja
aufbereitung durch Prozessor- Sendern o.a. Gerate
steuerung ja

Prozessorsteuerung Entwicklung von analoge analoge


HF-Baugruppen Gerate Gerate
HF-Bauteilen

digitale digitale
ja ja

Sie werden durch Millersche Indizes [hkl] be- Tabelle 1-7. Element- und Verbindungshalblei-
zeichnet. ter.
Zunehmende Bedeutung erlangen die Verhin-
sungshalbleiter, die erstmals von WELKER syste- Gruppen des Beispiele
matisch untersucht wurden. Unter der Voraus- Periodensystems
setzung, daB die Zahl der Valenzelektronen
IV Si, Ge, a-Sn
viermal so groB ist, wie die Zahl der Gitter- IV-IV SiC
atome, konnen nach dem Schema von Tabelle III-V GaAs, GaP, GaSb, InAs, InP
1-7 Elemente aus verschiedenen Gruppen des II -VI CdS, CdSe, HgS, ZnS, ZnTe
Periodensystems kombiniert werden.
Die meisten Verbindungshalbleiter kristallisie-
ren in der Zinkblendestruktur, die aussieht wie lichkeit aufweist als Si, so daB daraus sehr
die Diamantstruktur in Bild 1-58, wobei bei- schnelle Bauelemente gefertigt werden konnen.
spielsweise bei GaAs jedes Ga-Atom von vier
As-Atomen umgeben ist, umgekehrt auch jedes
1.8.2 Energiebander
As-Atom von vier Ga-Atomen. Der Halbleiter
GaAs erlangt zunehmende Bedeutung, weil er Die Quantenmechanik lehrt, daB in isolierten
eine wesentlich hohere Ladungstragerbeweg- Atomen die Elektronen um die Atomkerne eine
56 1 Grundlagen der Elektrotechnik

Aufenthaltswahrscheinlichkeit haben, die durch Elemente Gruppe IV


stehende Wellen beschrieben wird. Die Wellen- vier Valenzelektronen:
C, Si, Ge, Sn
funktionen ^^ dieser Materiewellen und die da-
mit verkniipften Energien E^ ergeben sich durch Kristallgitter • • •
Losung der Schrodingergleichung (E. SCHRODIN- • © • •©• •(§})•
GER, 1887 bis 1961). Es zeigt sich, daB die Elek- • • •
tronen nur diskrete Energiezustande einnehmen •©• q©* •(!)•
konnen, die auf einer Energieleiter angeordnet • • •
sind (Bild 1-59).
•(§)• •©• •©•
• • •
Bandermodell E ,
LB
f f • •
^L
j ^

^•-
^V

Bild 1-60. Bandermodell und Kristallgitter eines Halb


leiters bei Eigenleitung.
bei T ;^ 0 K verwirklicht. Mit steigender Tem-
peratur aber werden durch die wachsende ther-
Einzel- zwei- drei- N Atome mische Schwingungsenergie Bindungen aufge-
atom atomiges atomiges (Festkorper)
Molekiil
rissen, so daB sich Elektronen mehr oder
weniger frei im Gitter bewegen konnen. Dieser
Bild 1-59. Erlaubte Energiezustande der Elektronen
im Atom, Molekiil und Festkorper. Vorgang entspricht im Bandermodell einem
Anheben von Elektronen uber die verbotene
In einem Festkorper sind sehr viele Elektronen Zone ins Leitungsband.
miteinander in Wechselwirkung, was dazu Die Breite des Bandabstandes E^ ist von der
fiihrt, daB die erlaubten Energieniveaus zu Bdn- Temperatur abhangig. Bei den meisten Halblei-
dern verbreitert werden, die durch verbotene tern nimmt £g mit steigender Temperatur ab.
Zonen getrennt sind. Der Ubergang vom Ein- Der Zusammenhang fiir die Halbleiter Ge, Si
zelatom zum Festkorper ist im Bild 1-59 sche- und GaAs ist in Bild 1-61 dargestellt.
matisch dargestellt.
Bei den Halbleitern sind nun alle energetisch
1,5
tiefliegenden Bander, die sog. Valenzbander, mit
Elektronen gefiillt. Das oberste vollstandig ge- GaAs-

fullte Valenzband ist durch eine EnergielUcke


der Breite £g (vom engl. energy gap) von hoher-
liegenden leeren Bandern, die als Leitungsban-
der bezeichnet werden, getrennt. Bild 1-60 zeigt _Si

das Bandermodell mit dem obersten Valenz- 1,0


band (VB), der verbotenen Zone und dem
untersten leeren Leitungsband (LB).
Das Gittermodell ist vereinfacht so gezeichnet,
daB jedes Si-Atom in der Zeichenebene vier
nachste Nachbarn hat. Elektronen im Valenz- Ge
band sind solche, die im Kristallgitter fest an die
1 1
Atomrumpfe gebunden sind, also die Elektro- 0,5
100 200 300 400 500
nenpaarbindung bewirken. Ein solcher Halb- r/K
leiter hat keine frei beweglichen Elektronen und Bild 1-61. Variation des Bandabstandes mit der Tem-
damit keine Leitfahigkeit. Dieser Zustand ist peratur fiir Ge, Si und GaAs.
1.8 Grundlagen der Halbleiterphysik 57

Die Abhangigkeit des Bandgaps von der Tem- springen; dadurch wandert das Loch in Rich-
peratur wirkt sich auf viele Eigenschaften von tung Kathode. Der Strom in einem Halbleiter
Halbleiterbauelementen aus. Tabelle 1-8 zeigt laBt sich daher als Summe aus einem Elek-
eine Zusammenstellung des Bandabstandes Eg tronenstrom /„ und einem Locherstrom I^ dar-
und des Temperaturkoeffizienten dEJdT eini- stellen:
ger Halbleiter.
/-/n+/n (1-131)
Tabelle 1-8. Bandabstand E g und Temperatur-
koeffizient dEJdT fur einige Halbleiter bei
T=300K. Dabei flieBen die Locher in der technischen
Stromrichtung (von -h nach —), die Elektronen
Halb- dEJdT entgegengesetzt. Da freie Elektronen (Elek-
E,
leiter eV 10-^eV/K tronen im Leitungsband) und Locher immer
paarweise erzeugt werden, gilt fiir die Dichten n
Si 1,11 -2,7 und p (Anzahldichte n = N/V) der Elektronen
Ge 0,66 -3,7 und Locher
GaAs 1,43 -3,9
GaP 2,27 -5,2 n = p. (1-132)
GaSb 0,70 -3,7
InAs 0,356 -3,5 Die Berechnung der Ladungstragerkonzentra-
InP 1,34 -2,9
tion n und p geschieht mit Hilfe der Fermi-
InSb 0,18 -2,8
Dirac-Statistik. Danach ist die Wahrscheinlich-
CdO 1,3 -4,2 keit fiir die Besetzung eines Energieniveaus E
CdS 2,5 4,1 gegeben durch die Fermi-Funktion
CdSe 1,75 3,6
ZnS 3,56 -5
ZnTe 2,3 -4,5 f{E) = [l + e^^]
£p ist die Fermi-Energie, fur die gilt f{Ep) = 0,5.
Sie hegt naherungsweise in der Mitte der verbo-
1.8.3 Ladungstragerkonzentration tenen Zone (Bild 1-60). Die Elektronendichte
Die Konzentration der frei beweglichen La- n{E) im Energieintervall zwischen E und
dungstrager ist bei einem Halbleiter von ent- E-\-dE ist gegeben durch das Produkt aus Fer-
scheidender Bedeutung fiir die elektrische Leit- mi-Funktion /(£), der Zustandsdichte D^{E)
fahigkeit. Sie ist stark temperaturabhangig und und der Breite des Intervalls dE\
kann durch Dotieren mit Fremdstoffen in wei- n{E)=f{E)DAE)dE,
ten Grenzen verandert werden.
Alle drei Funktionen sind in Bild 1-62 darge-
1.8.3.1 Eigenleitung stellt. Die Zustandsdichte gibt die Dichte der
erlaubten Energiezustande im betrachteten
Bin einem reinen Halbleiter (engl.: intrinsic
Energiebereich an, fiir sie gilt
semiconductor) beruht die elektrische Leitfahig-
keit auf der Bewegung von Elektronen, die un- 1 [2 ml* \ 3 / 2 1/2
ter Energieaufwendung iiber die Bandliicke weg DAE) = (^-^L)
2n^\ h
vom Valenzband ins Leitungsband gehoben
wurden (Bild 1-60). Jedes Elektron, das aus dem Sie wachst mit der Wurzel aus der Energie der
Valenz- ins Leitungsband gehoben wird, hinter- Leitungsbandelektronen und hangt stark von
laBt in der Elektronenverteilung des Valenzban- der Masse m* der Ladungstrager ab.
des eine Lucke. Diese Defektelektronen oder
Locher verhalten sich im See der negativen Nun gibt es bei Halbleitern die Besonderheit, daB sich
Elektronen wie positive Teilchen. Wird an den die Elektronen aufgrund auBerer Krafte so bewegen,
als ob ihre Masse nicht identisch ware mit der Masse
Kristall eine elektrische Spannung angelegt, freier Elektronen. Das kommt daher, daB die Elek-
dann flieBen die Elektronen zur Anode. Gebun- tronen im Kristall nicht nur die auBeren Krafte ver-
dene Elektronen in der Nachbarschaft von Lo- spiiren, sondern auch innere Krafte, die durch die
chern konnen durch Platzwechsel in ein Loch periodisch variierenden Potentiale der Atomriimpfe
58 1 Grundlagen der Elektrotechnik

t i Ei , F, 1 Fiir die Locher im Valenzband gelten die oben


gemachten Ausfuhrungen sinngemaB. Analog
zu Gl. (1-133) ergibt sich fur die Locherdichte
/D,(E)
im Valenzband mit der effektiven Zustands-
_/ ^ ^ ^ n{E) dichte des Valenzbandes N^:

c _
t P = NyQ
EF
kT
~E\

(1-134)

p _
t-v
^ ^ ^ PiE) Tabelle 1-9 zeigt neben anderen Material-
konstanten die effektiven Zustandsdichten der
Halbleiter Ge, Si und GaAs. Aus den Glei-
chungen (1-133) und (1-134) ergibt sich fur das
Produkt der Tragerdichten
^ L 1 — ^ 1 i^-
D(E) 0,5 1 f{E) n,p
n'p=^nt = N^NyQ~^^"'^. (1-135)
Bildl-62. Zustandsdichte D{E), Besetzungswahr-
scheinlichkeit f{E) und Trdgerdichte n{E) sowie p{E)
eines reinen Halbletters. Wj wird als Eigenleitungsdichte (engl.: intrinsic
carrier concentration) bezeichnet. Nach Gl.
(1-132) ist n-^ = n = p. Durch Wurzelziehen folgt
verursacht werden. Das Verhaltnis aus auBerer Kraft aus Gl. (1-135)
und tatsachlicher Beschleunigung ist die effektive
Masse m* der Kristallelektronen. Diese ist von der
Bewegungsrichtung abhangig und kann experimen-
tell bestimmt werden (z. B. durch Zyklotronresonanz). (1-136)
Sie betragt beispielsweise im Germanium fiir Elek-
tronen im Leitungsband, die sich in [lll]-Richtung
(s. Bild 1-58) bewegen m*i = l,6-mg, in der dazu Die nach Gl. (1-136) errechneten Intrinsic-Da-
senkrechten Richtung ist sie m* t = 0,082 • m^. Durch ten sind in Tabelle 1-9 angegeben.
eine spezielle Mittelung ergibt sich die effektive Zu-
-r/K
standsdichtemasse, die in die Formel fiir die Zustands- 1 000 700 500 400 300 250 200
dichte einzusetzen ist: m* ^ = 0,554 • m^.

Die Dichte aller Elektronen im Leitungsband


wird damit
00

n= j/(£)Z)(E)d£.

Fiir den Fall, daB E — E^^kT, kann die Fermi-


Funktion durch den Boltzmann-Faktor ersetzt
werden:
E-EF
/(£)«e" " .
Damit laBt sich obiges Integral geschlossen
losen. Die Integration ergibt:

£L-£F
(1-133)

mit der effektiven Zustandsdichte des Leitungs-


bandes
Inmf.kTy^
''e,d Bild 1-63. Eigenleitungsdichte n^ von Germanium in
Nj = 2
Abhdngigkeit von der Temperatur T.
1.8 Grundlagen der Halbleiterphysik 59

Tabelle 1-9. Eigenschaften der Halbleiter Ge, Si und GaAs. (Die Zahlenwerte gelten fur T = 300 K.)

Ge Si GaAs

Kristallstruktur Diamant Diamant Zinkblende

Gitterkonstante a in 10~^^ m 5,65771 5,43043 5,65325

linearer Ausdehnungskoeffizient a in 10~^K~^ 5,9 2,56 6,86

spezifische Warmekapazitat c in kJ/(kg K) 0,31 0,70 0,35

Warmeleitfahigkeit X in W/(m K) 64 145 46

Schmelzpunkt S^ in °C 937 1415 1238

Atomdichte N/V in 10^^ cm~^ 4,42 5,0 4,42

Dichte Q in kg/m^ 5326,7 2328 5320

Molmasse M in g/mol 72,60 28,09 144,63

Bandgap E^ in eV 0,660 1,11 1,43

intrinsische Tragerdichte n^ in cm ~ ^ 2,33 • W 1,02-10^0 2,0 • 10^

Effektive Zustandsdichte
im Leitungsband JVL in cm"^ 1,24-101^ 2,85 • 10^^ 4,55 • 10^^
im Valenzband iVy in cm ~ ^ 5,35 • W 1,62-101^ 9,32 • W

Beweglichkeit fi^ in cm^/(Vs) 3900 1350 8500


/ip in cmV(Vs) 1900 480 435

Diese Tragerdichten sind stark temperaturab- Wenn namhch der Kristall (absichtlich oder unab-
hangig. Bild 1-63 zeigt den Zusammenhang fur sichthch) Fremdstoffe enthah, dann wird die La-
Ge. dungstragerkonzentration durch die Verunreinigungs-
konzentration bestimmt, die in diesen Substanzen im-
Bei logarithmischer Auftragung von n^ gegen mer hoher ist als n^ Von den drei in Tabelle 1-9 aufge-
1/T entsteht naherungsweise eine Gerade mit listeten Halbleitern ist lediglich Ge in geniigender
der Steigung —EJlk. Abweichungen von der Reinheit darstellbar, so daB dort n.^ auch gemessen
Geraden entstehen durch den Faktor T^^^ in werden kann (z. B. mit Hilfe des Hall-Effekts).
Gl. (1-136) sowie durch die Temperaturabhan-
Die genaue Lage der Fermi-Energie folgt aus
gigkeit des Bandgaps. Fur den temperatur-
den Gleichungen (1-133) und (1-136) zu
unabhangigen Faktor n-^^ in Gl. (1-136) folgt bei
- Germanium 3K-3/2^
« i o = l , 5 7 - lO^^cm-^K
- Silicium nio^4,14- 10^5 cm-^K-3/2,
- Gallium- «,o = 3,95-10^5 cm-3K-3/2
arsenid Sie liegt demnach bei T = 0 K exakt in der
Mitte der verbotenen Zone. Bei endlicher Tem-
Offensichtlich ist die Eigenleitungsdichte Wj mit der peratur entfernt sie sich geringfiigig von der Zo-
Breite E^ des Bandgaps korreliert. Bei Halbleitern mit
nenmitte. Beispielsweise liegt sie fiir Germa-
groBem Bandabstand ist die Tragerdichte klein. Bei
den Halbleitern Si und GaAs sind die Dichten n^ so nium bei r = 300K um ^E = 0,0138 eV unter-
klein, daB sie experimentell gar nicht nachweisbar halb. Eine genaue Kenntnis der Lage des Fer-
sind. Das kommt daher, daB diese Substanzen nicht mi-Niveaus ist deshalb wichtig, weil es in einem
mit geniigender Reinheit hergestelh werden konnen. Halbleiter-Bauelement im thermodynamischen
60 1 Grundlagen der Elektrotechnik

Gleichgewicht uberall auf gleicher Hohe sein ter. Gleichzeitig geht dafiir die Dichte der freien
muB. Locher im Valenzband zuriick, denn nach Gl.
(1-135) gilt fur jeden Halbleiter, unabhangig
1.8.3.2 Storstellenleitung von der Dotierung: n- p = nf. In diesem Fall
beruht die elektrische Leitfahigkeit beinahe
n-Halbleiter ausschlieBlich auf dem Transport der negativen
Die Konzentration freier Ladungstrager und Elektronen, weshalb der Halbleiter als n-Typ
damit die Leitfahigkeit kann erheblich beein- (n-leitend) bezeichnet wird. Die Elektronen sind
fluBt werden durch den Einbau von Fremdato- die Majoritatstrager, die Locher die Minori-
men ins Kristallgitter (Dotieren). Wird beispiels- tatstrager.
weise Silicium mit Atomen eines Elements der
V. Gruppe des Periodensystems dotiert, dann Tabelle 1-10. lonisationsenergie Ej^ von Dona-
bringt jedes Storatom ein Elektron mit, das toren und E^ von Akzeptoren in Silicium und
keine Bindung mit nachsten Nachbarn eingeht Germanium.
und deshalb durch geringe Einergiezufuhr von
seinem Atom abgetrennt werden kann. Im Ban- Storstelle lonisierungsenergie JS^
derschema von Bild 1-64 sind diese Elektronen bzw. E^ in meV
dicht unter der Leitungsbandkante angesiedelt.
Silicium Germanium
Durch Zufuhr der lonisationsenergie E^ kann
ein solcher Donator (Elektronenspender) ioni- Donatoren
siert werden, d.h. das Elektron ins Leitungs- P 44 12,76
band gehoben werden. Die lonisationsenergien As 49 14,04
ED einiger Dotierstoffe sind in Tabelle 1-10 fur Sb 39 10,19
die Halbleiter Silicium und Germanium zusam-
mengestellt. Aus den Zahlenwerten ist ersicht- Akzeptoren
lich, da6 bereits bei Raumtemperatur praktisch B 45 10,4
alle Storstellen ionisiert sind. Die Dichte der Al 57 10,2
Ga 65 10,8
Elektronen im Leitungsband nimmt dadurch In 160 11
sehr viel groBere Werte an als n-^ beim Eigenlei-

Storstellenleitung

n-dotiert (Elektronenleitung) p-dotiert (Locherleitung)

Dotierstoffe Gruppe V Gruppe III


fijnf Valenzelektronen: drei Valenzelektronen:
N, P, As, Sb (Donatoren) B, Al, Ga, In (Akzeptoren)

Kristallgitter
• • • • • •
•©• •©• •©• •©• •©• •©• 1
• • • • • •
• • • • • •
•©• •©• •(!)•
• • • •©• •©• •©•
• o •
•©• •©• •(§)• • • •
• • • •©• •©• •©•
Bandermodell E ,
• • • LB

Storstellen
neutrale ionisierte neutrale ionisierte ^,
Storstellen _ ^0^ ^i^ ^

^^B" WMm-'
Bild 1-64. Bandermodell und Kristallgitter dotierter Halbleiter.
1.8 Grundlagen der Halbleiterphysik 61

Aus Neutralitatsgrunden muB die Summe aller Die Elektronenkonzentration verringert sich
positiven Ladungen (Locher und ionisierte drastisch mit abnehmender Temperatur. Insbe-
Storstellen) gleich der Ladung der freien Elek- sondere sind am absoluten Nullpunkt alle Stor-
tronen sein: stellen neutral, der Halbleiter also ein idealer
Isolator. Im Falle tiefer Temperaturen spricht
p -\-n^ =n. man vom Zustand der Storstellenreserve, um
Unter der Voraussetzung, daB alle Donatoren anzudeuten, daB mit steigender Temperatur zu-
ionisiert sind (dieser Zustand wird als Storstel- nehmend Storstellen ionisiert werden konnen.
lenerschopfung bezeichnet), ist die Dichte der Die Tragerdichte wird wie bei der Eigenleitung
ionisierten Donatoren identisch mit der Dichte durch Anwendung der Fermi-Dirac-Statistik
der Donatoren liberhaupt: n^ = ^D • berechnet. Bild 1-66 zeigt die Verteilungsfunk-
tion f{E) der Elektronen bei tiefen Temperatu-
Also gilt: JP + «!) = n.
ren.
Daneben muB stets Gl. (1-135) erfullt sein. Aus
diesen beiden Gleichungen folgt fur die Dichte E i /7-Typ E, A)-Typ
der freien Elektronen und Locher:
L J^JL f


^L ^L
n=
-"^u )'+nf.
(1-138)
v % ^ '"
P == nf/n. ^F- W •©_• E,
^V
o "^c^ t
Die Abhangigkeit der Elektronen- und Locher-
dichte von der Donatorenkonzentration ist in
Bild 1-65 dargestellt. 0,5 1 f{E) 0,5 1 f{E)
Fiir ^D ^ Hi (was zumindest bei Si und GaAs Bild 1-66. Fermi-Dirac-Verteilung in n- und p-Halb-
immer erfullt ist) gilt die praktische Naherung leitern bei tiefen Temperaturen. Die roten Gebiete ent-
sprechen den besetzten Elektronenzustdnden.
n^n^, p^ nf/rijy. (1-139)
Die Fermi-Energie E^ Hegt jetzt in der Mitte
10^'
zwischen den Storstellenniveaus und der benach-
barten Bandkante. In Analogic zu Gl. (1-136)
10^ folgt fur die Konzentration der freien Elektronen
bei tiefen Temperaturen (/cT<^ EYyl\n{NJ2nYy)):
/ n

10^'

In diesem Ausdruck spielt £D dieselbe RoUe wie


\ P
das Bandgap E^ beim Eigenleiter. Bild 1-67
10^"
zeigt den Verlauf der Elektronendichte in n-Sih-
zium in Abhangigkeit von der Temperatur.
10^ Mit steigender Temperatur nimmt die Dichte
der freien Elektronen rasch zu und geht schlieB-
Hch in ein waagrechtes Plateau iiber, wenn im
10^'
10^' 10^- 10^' 10^< Zustand der Storstellenerschopfung alle Stor-
/?n/cm-3 stellen ionisiert sind. Ein weiterer Temperatur-
Bild 1-65. Konzentration der freien Elektronen (n) anstieg verursacht eine erneute Zunahme der
und Locher (p) in n-Typ Germanium in Abhangigkeit Tragerdichte, wenn die Eigenleitungsdichte
von der Donatorenkonzentration «D im Falle der Stor- n;{T) groBer wird als die Storstellenkonzentra-
stellenerschopfung. tion.
62 1 Grundlagen der Elektrotechnik

-^—Temperatur 7"/ K Ist die Akzeptorendichte n^ viel groBer als die


300100 50 20 10 Eigenleitungsdichte n-^, dann gilt
T~\—I \ r
— Storstellenerschopfung
:n?K. (1-142)

Bei tiefen Temperaturen, also im Zustand der


Storstellenreserve, gilt

Steigung
108 I Eigenleitung
E 1.8.4 Beweglichkeit
Steigung - - ^
106 Die elektrische Leitfahigkeit eines Hableiters
hangt nicht nur von der Konzentration der
10^ freien Ladungstrager, sondern auch von deren
0,04 0,08 0,12 Beweglichkeit ab. Die Beweglichkeit n ver-
reziproke Temperatur - IK'^ kniipft die Driftgeschwindigkeit v^ der La-
Bildl-67. Ladungstrdgerdichte in n-Typ-Silicium in
dungstrager mit der elektrischen Feldstarke E:
Abhdngigkeit von der Temperatur. Dotierung: Phos-
phor mit verschiedenen Konzentrationen. v^ = fiE. (1-144)
p-Halbleiter
Dotiert man mit Elementen aus der III. Gruppe Tabelle 1-9 zeigt die Beweglichkeiten fi^ und fi^
des Periodensystems, so fehlt an jedem Stor- fiir Elektronen und Locher in den Halbleitern
atom ein Elektron zur Bindung. Bereits durch Ge, Si und GaAs. Die Zahlenwerte gelten fiir
geringe Energiezufuhr kann dieses lokalisierte Eigenleitung bei Raumtemperatur.
Loch von einem Elektron eines Nachbaratoms Die Ladungstrager sind auf der Wanderung
ausgefullt werden. Dadurch wandert das Loch durch den Kristall verschiedenen Streumecha-
ins Valenzband und kann dort als freies Loch nismen ausgesetzt. Bei relativ reinen Kristallen
am Ladungstransport teilnehmen. Die elek- erfolgt die Streuung vor allem an Gitterschwin-
trische Leitung beruht hier vorwiegend auf der gungen (Phononen). Da mit steigender Tempe-
Wanderung der positiven Locher; man spricht ratur die Amplitude der Gitterschwingungen
deshalb von p-Leitung und p-Typ-Halbleitern. ansteigt, nimmt die Beweglichkeit ab. Die Ab-
Im p-Halbleiter sind also die Locher die Majo- hangigkeit der Beweglichkeit von der absoluten
ritaten, die Elektronen die Minoritaten. Da die Temperatur laBt sich durch ein Potenzgesetz
Storatome aus der IIL Gruppe Elektronen auf- der Form
nehmen, werden sie als Akzeptoren bezeichnet.
Die lonisierungsenergien der wichtigsten Ak-
zeptoren in Si und Ge sind in Tabelle 1-10 zu-
sammengestellt.
Analog zu Gl. (1-138) ist die freie Locherdichte
im Zustand der Storstellenerschopfung, also bei ausdriicken. Dabei ist TQ = 300 K und fiQ =
hohen Temperaturen, gegeben durch jU(To). Bei Streuung an akustischen Phononen
soUte der Exponent nach theoretischen LFberle-
gungen a = 3/2 betragen. Tatsachlich beobach-
tet man andere Exponenten. In Tabelle 1-11
sind empirisch ermittelte Werte zusammenge-
stellt.
1.8 Grundlagen der Halbleiterphysik 63

Tabelle 1-11. Exponent a im Potenzgesetz Gl. daB die aus dem elektrischen Feld aufgenommene
(1-145). Energie vollstandig in thermische Energie des schwin-
genden Gitters umgesetzt wird, die Ladungstrager
Germanium Silicium Galliumarsenid also nicht weiter beschleunigt werden kdnnen. Das
/^n ^p ^n A^p
bedeutet, daB die Driftgeschwindigkeit nicht weiter
anwachst, sondern bei groBen Feldstarken sattigt.
a 1,66 2,33 2,42 2,2 1 2,1 Bild 1-69 zeigt den Zusammenhang zwischen Driftge-
schwindigkeit und elektrischer Feldstarke. Die Satti-
gungsdriftgeschwindigkeit liegt in der GroBenord-
Bei dotierten Halbleitern wird mit zunehmen- nung von i;^ ^at ~ 10^ cm/s.
der Storstellenkonzentration die Streuung an
ionisierten Storstellen immer wichtiger. Bild
1-68 zeigt den Zusammenhang zwischen Be- y^
'>-LJ GaAs
weglichkeit und Storstellendichte bei Raum- 10^ -y—
temperatur. Bei starker Dotierung ist die Be- A— ^J»«
"^^
weglichkeit praktisch nicht mehr von der Tem- y 1^'^^^
^
peratur abhangig. / ^1 ^ --^ 1
10^
P Mn 10
/ 'AW
^
// , / y
/ / -Qi
lO^t
I 1 ^
^
in5
102
102 10^ 10"^ 10^
elektrische Feldstarke £ / Vcm-^

_ Bild 1-69. Driftgeschwindigkeit von Elektronen


Mn
(schwarz) und Lochern (rot) in Si, Ge und GaAs in
^ 103
= Abhdngigkeit von der Feldstarke bei T= 300 K (nach
sT^. Jacobini et al.. Smith et al., Ruch und Kino).
~ """J^T^^
(S 102
10^
^_. _ 1.8.5 Leitfahigkeit
^ n ^
- Die elektrische Leitfahigkeit eines Halbleiters
GaAs hangt ab von der Dichte der freien Ladungstra-
103
z ger und von ihrer Beweglichkeit. Nach Gl.
- (1-131) setzt sich der Gesamtstrom in einem
102 1 Hill._ 1 1 1 mil 1 1Ulli 1 1 Mini r > s j i i i i Halbleiter aus dem Elektronen- und Locher-
10^' ^Qis 10^6 10" 10^8 10^^
strom zusammen. Entsprechend besteht die
Dotierungskonzentration /7Q bzw. /7^ / cm"^
Bild 1-68. Beweglichkeit von Ge, Si und GaAs bei elektrische Leitfahigkeit x aus einem Elektro-
T= 300 K in Abhdngigkeit von der Storstellenkonzen- nen- und einem Locheranteil:
tration (nach Casey und Panish, Prince, Beadle et al).
x= e{nj^^-\-pnJ. (1-146)
Die Giiltigkeit von Gl. (1-144) mit einer konstanten
Beweglichkeit und damit die Giiltigkeit des ohmschen
Gesetzes ist auf relativ kleine Feldstarken beschrankt. Fiir den spezifischen Widerstand gilt:
Mit steigender Feldstarke nehmen die Ladungstrager
mehr Energie aus dem elektrischen Feld auf, als sie 1
durch StoBe abgeben konnen. Dadurch bekommen (1-147)
e{nfi^-\-pfi^)'
die Ladungstrager eine hohere effektive Temperatur
als das Kristallgitter. Wenn die Driftgeschwindigkeit
die Schallgeschwindigkeit erreicht hat, ist die Tempe- Bei einem Eigenleiter ist nach Gl. (1-135) und
ratur der Ladungstrager um etwa 24% hoher als die (1-136) n = p = Hj und damit
Gittertemperatur. Die „heiBen" Ladungstrager geben
ihre UberschuBenergie ab, indem sie in erhohtem 1
MaBe Phononen (Gitterschwingungen) aussenden. (1-148)
Q
Dies fuhrt schlieBlich bei hohen Feldstarken dazu.
64 1 Grundlagen der Elektrotechnik

Beispiel
1.8-1: Wie groB ist die elektrische Leitfahigkeit und
der spezifische Widerstand von reinem Germanium
bei Raumtemperatur?
Bild 1-70 zeigt MeBwerte fur diesen Verlauf.
Losung: Offensichtlich folgen die Kurven bei kleiner
Nach Tabelle 1-9 ist n, = 2,24 •10^^ cm ^und)U„ + /ip Storstellenkonzentration dem obigen Zusam-
= 5800 cmVVs. Damit ergibt sich x = 0,0208 Q" ^ cm' menhang. Bei starker Dotierung ist der spezi-
und ^ = 48 Q cm. fische Widerstand groBer wegen der abnehmen-
Da der ohmsche Widerstand R zum spezifischen den Beweglichkeit.
Widerstand Q proportional ist, ergibt sich unter
Verwendung von Gl. (1-136) fur den Wider-
stand eines Halbleiters naherungsweise eine ex-
ponentielle Abhangigkeit von der Temperatur:

2kT
R{T). R^c (1-149)

Der Widerstand hat einen negativen Tempera-


turkoeffizienten, weshalb Halbleiter auch als
NTC-Widerstande bezeichnet werden.
Bei dotierten Halbleitern hangt nach GL (1-146)
die Leitfahigkeit vorwiegend von der Konzen-
tration der Majoritatstrager ab. Beispielsweise
ist in n-Silicium mit einer Donatorenkonzentra-
tion von nj) = lO^^cm"^ bei Raumtemperatur
10^^ 10^5 ^Q^6 10^8 10^9 1020
die Elektronendichte n^l0^^cm~^. Die Lo- ^QM
Dotierungskonzentration n^ bzw. n^ I cm'^
cherdichte ist p ^ nf/nj^ = 1,3 • lO'^cm"^. Da-
mit wird die Leitfahigkeit Bild-1.70. Spezifischer Widerstand von Ge, Si und
GaAs bei T= 300 K in Abhangigkeit von der Dotie-
1 rungskonzentration (nach Cuttriss, Irvin, Sze und
(1-150) Irvin). Die rote Gerade gibt den theoretischen Verla
Q nach Gl. (1-152) wieder.
Im p-Material gilt entsprechend 1.8.6 Ausgleichsvorgange
1 Die Ladungstragerkonzentrationen n und p in
(1-151)
Q
einem Halbleiter konnen raumlich und zeitlich
variieren (z. B. infolge von Beleuchtung, raum-
hch veranderlicher Dotierung, Injektion von
Beispiel
Ladungstragern liber eine Grenzflache). Wird
1.8-2: Wie groB ist der spezifische Widerstand von beispielsweise ein Halbleiter an einer Stelle be-
n-Silicium bei einer Donatorenkonzentration von leuchtet, so entstehen dort Ladungstrager, die
WD = 4 • lO^^cm"^ bei Raumtemperatur? im thermodynamischen Gleichgewicht nicht
Losung: vorhanden sind. Diese Storung des thermo-
dynamischen Gleichgewichts verursacht Aus-
Nach Bild 1-68 ist die Beweglichkeit /z„ % 1000 cm^/Vs. gleichsvorgange, d.h. eine Diffusion von La-
Damit wird nach Gl. (1-150) der spezifische Wider- dungstragern in nichtbeleuchtete Gebiete sowie
stand Q = 0,156 Q cm.
eine vermehrte Rekombination. Da bei einer
Vernachlassigt man in erster Naherung die Ab- solchen Storung des Gleichgewichts immer die
hangigkeit der Beweglichkeit von der Storstel- Minoritatstragerdichte sehr stark verandert
lenkonzentration, so folgt aus Gl. (1-150) und wird, die Majoritatstragerdichte aber nur we-
(1-151), daB der spezifische Widerstand reziprok nig, wird im folgenden nur das Verhalten der
von der Dotierungskonzentration abhangt: Minoritaten betrachtet, und zwar exemplarisch
1.8 Grundlagen der Halbleiterphysik 65

das der Elektronen in einem p-Halbleiter. Fiir - t/Tn^


Locher in einem n-Halbleiter miissen in den An(0 = A n o ( l - e (1-155)
jeweiligen Gleichungen lediglich n und p ver-
tauscht werden. mit A^o = ^n^n•
Wird andererseits bei einem beleuchteten Halb-
1.8.6.1 Zeitverhalten leiter zur Zeit r = 0 die Lichtquelle ausgeschal-
1st die Konzentration der Elektronen im ther- tet, ist also ^^ = 0 fiir ^ > 0, dann ist die Losung
modynamischen Gleichgewicht HQ und wird
beispielsweise durch Bestrahlung die Konzen- An{t) = A^o e - t / t n (1-156)
tration auf n vergroBert, dann gilt fur die Uber-
schuBdichte An = n — HQ • Beide Falle sind in Bild 1-71 dargestellt.
Die zeitliche Anderung der tJberschuBdichte
wird bestimmt durch eine Generationsrate g^, a) Einschalten einer b) Ausschalten einer
die angibt, wieviel neue Ladungstrager pro s Lichtquelle Lichtquelle

und pro cm^ erzeugt werden sowie durch eine An , 1 An i


Rekombinationsrate r^, die angibt, wieviel La- A/7o AnQ
dungstrager pro s und pro cm^ durch Rekombi- "7
nation verschwinden. Bei der Generation neuer 0,63 /
Trager durch Bestrahlung ist die Generations-

f
AHQ
0,37
rate fiir Elektronen und Locher dieselbe, da AA7O
jedes absorbierte Photon ein Elektron-Loch-
Paar erzeugt (Abschn. 6.5.1). Im Banderschema T
entspricht die Generation einem Anheben eines ^ 7 t

Elektrons vom Valenz- ins Leitungsband unter


Bild 1-71. Zeitliche Veranderung der Uberschufikon-
Energieaufwendung. Bei der Rekombination zentration An beim Einschalten (a) und Ausschalten
fullt ein freies Elektron auf seinem Weg durch (b) einer Lichtquelle.
den Kristall ein Loch auf. Diese Restaurierung
einer Kristallbindung entspricht im Bander-
schema dem Ubergang eines Elektrons vom Die Zeitkonstante T„ wird als Lebensdauer der
Leitungs- ins Valenzband. Die dabei freiwer- UberschuBladungstrager bezeichnet. Sie hangt
dende Energie wird in Form von Warme oder stark von der Reinheit des Materials und von
als Lichtquant abgegeben (Abschn. 6.3.1). der Bandstruktur ab. Tabelle 1-12 zeigt eine Zu-
sammenstellung typischer Zahlenwerte fiir rela-
Fiir die Anderung der UberschuBkonzentration tiv reine Materialien.
gilt damit folgende Ratengleichung:
Tabelle 1-12. Lebensdauer, Diffusionskonstante
und Diffusionslange der Elektronen in Ge, Si
undGaAsbei r = 3 0 0 K .
Bei schwacher Injektion {An <4 Po) kann man Halbleiter Lebens- Diffusions- Diffu-
davon ausgehen, daB die Rekombinationsrate dauer konstante sions-
proportional zur UberschuBdichte selbst ist: lange
^n ^n L„
Mit diesem Ansatz wird die Differentialglei-
chung (1-153) zu Germanium 10"^ s 101 cm^s 3,2 mm
Silicium IQ-^s 35 cm^/s 59|xm
Gallium- 10-«s 220 cm^s 15 |im
arsenid

Wird ein Halbleiter mit konstanter Bestrah-


1.8.6.2 Raumliche Ausbreitung einer Storung
lungsstarke bestrahlt, ist also die Generations-
rate konstant, dann ist die Losung von Gl. Wird in einem Halbleiter lokal die Minoritats-
(1-154) tragerdichte erhoht (z. B. durch Bestrahlung
66 1 Grundlagen der Elektrotechnik

Oder Injektion iiber eine Grenzflache in einem


pn-Ubergang), so fiihrt die Dichteerhohung zu
einem Diffusionsstrom, der zum Ziel hat, die La-
dungstragerdichten zu nivellieren. Bild 1-72 a
zeigt einen langgestreckten Kristall der Quer- wird als Einstein-Relation bezeichnet. Zahlen-
schnittsflache A, in den von links her Minori- werte fur D^ sind in Tabelle 1-12 fur Raumtem-
tatstrager injiziert werden. Die Ladungstrager- peratur zusammengestellt.
dichte wird dadurch quaHtativ einen Verlauf Die UberschuBdichte im Volumenelement zwi-
bekommen, wie in Bild l-72b gezeigt. Die schen x und x-\-dx ergibt sich aus der Bilanz
UberschuBkonzentration nimmt vom Wert An^ zwischen von Hnks her zustromenden Elek-
(surface) an der Oberflache ins Kristalhnnere tronen, nach rechts abflieBenden Elektronen
hinein ab. und Elektronen, die durch Rekombination ver-
schwinden. Durch Kombination aller drei Ef-
fekte ergibt sich unter Verwendung von Glei-
chung (1-154) in Verbindung mit (1-157) das
2. Ficksche Gesetz:

Bild 1-73 zeigt Losungen An(x,t) fiir sukzessiv


ansteigende Zeiten, wenn auf der Stirnflache des
Kristalls fur t > 0 eine konstante UberschuBrate
A^s erzwungen wird. Nach einiger Zeit (^^1^)
stellt sich ein stationarer Verlauf An {x) ein, d. h.
es ist 8 (An)/8t = 0. Damit lautet die Differen-
tialgleichung (1-160)
Bild 1-72. a) Generation von Ladungstrdgern an der a^(An) An
Grenzflache eines Kristalls durch Bestrahlung; b) rdum-
liches Abklingen der Uberschufikonzentration A« ins
Kristalhnnere.
Die Losung ist ein exponentielles Abkhngen der
UberschuBdichte ins Kristallinnere:
Infolge des Konzentrationsgefalles flieBt ein
Diffusionsstrom ins Kristallinnere. Die Zahl der An(x) = An^e -xlL^ (1-161)
Ladungstrager dAT, die im Zeitintervall dt die
Stelle X passieren, wird durch das 1. Ficksche Der Verlauf entspricht in Bild 1-73 der Kurve
Gesetz beschrieben: rechts unten. Die GroBe L^ wird als Diffusions-
Idnge der Elektronen bezeichnet. Sie hangt mit
der Diffusionskonstante D^ und der Lebens-
dauer T„ zusammen:

Die Diffusionsstromdichte an der Stelle x ins L, = ^D^n (1-162)


KristalUnnere ist dann
Zahlenwerte der Diffusionslange sind in Tab.
1-12 zusammengestellt. Aus Gl. (1-161) folgt,
daB im Abstand x = L^ von der Grenzflache die
UberschuBkonzentration An auf An^/e abge-
In diesen Gleichungen ist D^ die Diffusions- nommen hat. Anschaulich kann man sagen, daB
konstante der Elektronen. Sie ist um so groBer, die Diffusionslange die mittlere Strecke ist, wel-
je groBer die Beweglichkeit und je hoher die che die Ladungstrager aufgrund eines Dichte-
Temperatur ist. Der Zusammenhang gradienten im Halbleiter im Laufe ihrer Lebens-
1.8 Grundlagen der Halbleiterphysik 67

AA7A n-Gebiet. Die Ladungstragerkonzentrationen


-4-AA7, sind in Bild l-74c dargestellt.
Weit weg vom tjbergang sind die Majoritats-
tragerdichten identisch mit den Storstellenkon-
2 zentrationen. So gilt fur die Elektronendichte
t--^r„ • im n-Gebiet ^^no = "D = ^ * 10^^cm"^ und fur
die Locherdichte im p-Gebiet p^^ = ^A =
• 1 • 10^^ cm~^. Die Minoritatsdichten in groBem
• Abstand vom Ubergang werden nach Gin.
X (1-139) und (1-42) berechnet. Fiir die Elektro-
Ani
-f-A/7,
nendichte im p-Gebiet ergibt sich n^Q = nfl
% = 1,04 • lO'^cm"^; fiir die Locherdichte im
n-Gebiet folgt/j^o ^ ^?/^D ^ 2,6 • 10^ cm~l In-
folge des groBen Konzentrationsunterschieds
3 • 4 diffundieren Elektronen aus dem n- ins p-Ge-
'--9'"
biet sowie Locher vom p- ins n-Gebiet. Die
• Ubergangszone verarmt an beweglichen La-
• dungstragern. Die minimale Ladungstrager-
• konzentration in der Verarmungszone betragt
An (« +;?)min = 2 Wj; in Si ist dies 2,04 • 10^^ c m l
Durch die Abwanderung der Locher aus dem
p-Gebiet entsteht an dessen Rand durch die
ionisierten Akzeptoren, die nicht mehr durch die
entsprechende Anzahl von Lochern kompen-
siert werden, eine negative Raumladungszone
(RLZ). Ebenso entsteht im n-Gebiet durch die
positiven Donatorrumpfe eine positive Raum-
ladungszone. Bild l-74d zeigt den Verlauf der
\ Raumladungsdichte Q. Aufgrund der Ladungs-
neutrahtat gilt fur die Breiten d^ und d^
Bild 1-73. Losungen der Diffusionsgleichung (1-160)
fur aufeinanderfolgende Zeiten t. An der Oberfldche
{x = 0) wirdfur / > 0 die konstante Konzentration A«s dn "D = d^A- (1-163)
eingestellt.

Wegen der positiven und negativen Raumladun-


dauer diffundieren konnen. Das bedeutet also,
gen entsteht ahnlich wie beim Plattenkonden-
daB beispielsweise in einem pn-Ubergang in
sator ein elektrisches Feld und ein Potential-
Silizium die Elektronen aus dem n-Material ca.
gefalle zwischen n- und p-Gebiet. Feldstarke
60 jim weit ins p-Material diffundieren. Umge-
E (x) und Potentialverlauf cp (x) lassen sich durch
kehrt diffundieren die Locher wegen der kleine-
Losung der Poisson-Gleichung d^ cp/dx^ =
ren Beweglichkeit ca. 35 |im weit ins p-Gebiet.
— Q/S^ 8Q bestimmen. Eine erste Integration er-
gibt d(p/dx = — E (x), also den Verlauf der elek-
1.8.7 pn-Ubergang trischen Feldstarke. Die Feldstarke hangt linear
1.8.7.1 Feld- und Potentialverlauf vom Ort X ab:

Das Grundelement der meisten Halbleiterbau-


E (x) = e n (Xp — x) fiir Xp < x < XQ
elemente ist der pn-Ubergang, bei dem nach SrS
Bild l-74a p- und n-leitendes Material aneinan- und
derstoBen. Bild l-74b zeigt den Dotierungsver-
lauf eines unsymmetrischen abrupten pn-Uber- E (x) = (x — x^) fiir XQ < x < x^ .
gangs in Silicium mit der Akzeptorkonzentra-
tion n ^ ^ 1 • lO^^cm"^ im p-Gebiet und der An der Stelle XQ hat die Feldstarke ein Maxi-
Donatorkonzentration WD = 4 - 1 0 ^ c m ^ im mum:
68 1 Grundlagen der Elektrotechnik

kT, i
[/d = — I n - (1-167)
e
(1-164)
Die GroBe k T/e = Uj wird haufig als Tempera-
turspannung bezeichnet. Bei Raumtemperatur
(300 K) betragt sie Uj = 25,9 mV.
Der Feldstarkeverlauf ist in Bild l-74e darge-
stellt.
Durch eine zweite Integration ergibt sich der
parabolische Potentialverlauf, der in Bild l-74f
dargestellt ist:
E 4-1016
(p{x): {x — X )^ fur X <X<XQ
2 8^ So " i 2-1016
und
erijy
(p{x) = ix-xj'
10^6

+ 2 8 , 6 0 {dl n^ + dl n j "E
o
10^2

fur XQ < X < x„ . X 10^


ex
10^
Die Potentialdifferenz U^ = (p(x^) — (p{x^) zwi-
schen n- und p-Gebiet wird als Diffusionsspan-
nung bezeichnet, weil sie infolge der Diffusion d)
der beweglichen Ladungstrager entsteht. Fur
sie gilt: „ 4-106|-

o 2.106|-
U,= {dl Hj, + dl n J >• 0
2 s, So
-2-10^1-
= - 2 ^max {dn + ^ p ) • (1-165)

0
Daraus folgt fiir die Breite des tJbergangs
>-2-106

-4-10^

0,8

0,6
Der Betrag der Diffusionsspannung kann aus 0,4 >
9-
thermodynamischen Uberlegungen berechnet
werden. Das Verhaltnis der Elektronendichte 0,2
im p-Gebiet n^Q zu der im n-Gebiet H^Q wird
bestimmt durch den Boltzmann-Faktor (Boltz- Bild 1-74. pn- Ubergang
mann-Naherung der Fermi-Dirac-Verteilung) a) p- und n-leitendes Silicium in Kontakt,
b) Storstellenkonzentrationen,
^PO. ' kT c) Konzentrationen der beweglichen Ladungstrager,
=e d) Raumladungsdichte,
e) elektrische Feldstdrke,
Daraus folgt fur die Diffusionsspannung f) Potentialverlauf.
1.8 Grundlagen der Halbleiterphysik 69

Beispiel Ortsvariablen x. Teilbild a beschreibt die Ver-


1.8-3: Fur den in Bild 1-74 dargestellten pn-Uber- haltnisse im pn-Ubergang ohne auBere Span-
gang soil berechnet werden: nung ((7 = 0). Das Fermi-Niveau hegt im ther-
a) Diffusionsspannung U^, b) Breite d der Raumla- modynamischen Gleichgewicht iiberall auf
dungszone, sowie d^ und d^, c) maximale Feldstarke gleicher Hohe. Die Bandkanten verschieben
sich zwischen dem n- und dem p-Gebiet um den
Energiebetrag e U^.
Losung:
Legt man eine Sperrspannung an (l!7 < 0), dann
a) Nach Gl. (1-167) ist U^ - 0,75 V. werden gemaB Bild 1-75 b die beweglichen
b) Mit f, = 11,8 folgt aus 01. (1-166) ^ - 0,35 ^im Elektronen zum Pluspol und die Locher zum
und mit 01. (1-163) J^ = 0,07 |Lim sowie d^ = 0,28 ^im.
c) Aus 01. (1-164) folgt E^,, = - 4,3 • 10^ V/m. Minuspol gezogen. Dadurch verbreitert sich die
RLZ (in Gl. (1-166) wird U^ ersetzt durch
^d + I ^ I)- Es flieBt nur ein geringer Sperrstrom,
1.8.7.2 Strom-Spannungs-Kennlinie der darauf beruht, daB Minoritaten an den
Ubergang diffundieren und dort von dem star-
Die Verteilung der beweglichen Ladungstrager ken elektrischen Feld auf die andere Seite befor-
und die Bandstruktur eines pn-tJbergangs mit dert werden. Bei groBen Sperrspannungen sat-
und ohne angelegte Spannung sind in Bild 1-75 tigt der Strom und geht iiber in den Sperrsdtti-
dargestellt. gungsstrom I^. Die Sperrschicht besitzt wie der
a) p-Gebiet n-Gebiet Plattenkondensator eine Kapazitat. Da nach
Ei i
Gl. (1-166) die Sperrschichtbreite von der ange-
p-Gebiet n-Gebiet
legten Spannung abhangt, wird die Sperr-
0e©e0®®®9€»
©0000®©®®® ^F
^^A schichtkapazitat C^ = s^ SQ A/d von der ange-
©©©00©©®®® legten Spannung abhangig:
0000©®®®®®
-1/2
X
Cs-(t/d + |t/|; (1-168)
E i1
Bild 1-75 c zeigt die Verhaltnisse im pn-Ober-
e{u^-uyi
©©©00®®®®®
00©0©®®®®®
gang unter der Wirkung einer in FluBrichtung
© ©0©©©®®®®® ® ^ - e ( ; j | angelegten Spannung (1/ > 0). Die angelegte
©0©©©®®®®® Spannung baut die Diffusionsspannung ab, so
©©©©©®®©®® daB die Bandverbiegung kleiner wird. Die
Breite der RLZ wird verringert (in Gl. (1-166)
X
wird U^ ersetzt durch U^ — U); die beweglichen
c) E 1 e{U^-U)\ Ladungstrager reichern sich in der Verar-
©©©©©®©®®® ^ v _ mungszone an und dringen in die benachbarten
©0©©©®®®®® eu\\ Gebiete ein, wo sie mit den dortigen Majorita-
® ©00©©®®®®®
©©©0©®®®®® ~->\_ ten rekombinieren.
Q©©©©©®®®®
Zur Herleitung der Strom-Spannungs-Charak-
Bild i-75. Verteilung der Ladungstrager und Bdnder- teristik wird ein pn-Ubergang betrachtet, der in
modell beim pn-Ubergang: a) ohne dufiere Spannung, FluBrichtung gepolt ist. Die Dichte p„ der von
b) Spannung in Sperrichtung (C/ < 0), c) Spannung in der Raumladungszone sich ins n-Gebiet aus-
Flufirichtung(U>0).
breitenden Locher ist in Bild 1-76 skizziert. Die
Locherdichte am Rand der RLZ (x = 0) betragt
Die linke Halfte zeigt anschaulich die raumliche nach der Boltzmann-Statistik
Verteilung der Ladungstrager. Dabei stellen die
eU
Kreise die ortsfesten ionisierten Akzeptoren
und Donatoren dar. Der graue Bereich symbo- Pn(0) = P„oe kT (1-169)
lisiert das Gebiet der beweglichen Elektronen,
der rote das der Locher. Das weiBe Gebiet zeigt Die UberschuBdichte Ap^ = Pn — Pno nimmt
die Raumladungszone (RLZ). Die rechte Halfte nach Gl. (1-161) exponentiell ins n-Gebiet
zeigt die Energiebander in Abhangigkeit der hinein ab:
70 1 Grundlagen der Elektrotechnik

Ap„ (x) = p„ (x) - p„o = (Pn (0) - P„o) e ^'^ -.

Diese Ableitung der Strom-Spannungs-Kenn-


hnie geht zuriick auf W. SHOCKLEY (1910 bis
1989).
Beispiel
1.8-4: Wie groB ist die Sperrsattigungsstromdichte
einer Si-Diode mit w^ = 4 • 10^cm ^ und ^1^ =

P
Losung:
Bildl-76. Locherkonzentration p^{x) im n-Gebiet
bei Anliegen einer Flufispannung. Mit den Daten von Tabelle 1-12 und Gl. (1-171) ergibt
sich 7; = 1,14 • 10"'^ A/cml Ist die Diodenflache A =
1 mm^, so ist der Sperrsattigungsstrom 4 = 0,11 pA.
Damit ergibt sich ein Locherstrom (Diffusions-
strom) von der Raumladungszone weg in das Der Sperrsattigungsstrom I^ liegt in der GroBen-
n-Gebiet hinein. Fiir die Stromdichte unmittel- ordnung von pA bei Sihcium und )iA bei Ger-
bar am Rand der RLZ gilt nach Gl. (1-158): manium. Da nach Gl. (1-171) der Sperrsatti-
gungsstrom zu Hpo = nf/n^ und p^^ = nf/rij^
jp(0) = -.Dp(d(ApJ/dx)L=o proportional ist, folgt I^ ^ nf. Er ist deshalb wie
= eD^{pM-Pno)IL, die Eigenleitungsdichte n^ stark von der Tempe-
ratur abhangig. Es gilt
Oder mit Gl. (1-169)
eD ^ h (1-172)
j,(0) = — H p , o ( e ^ " - l ) .
Daraus folgt, daB sich beispielsweise bei Si-Di-
Unter der Voraussetzung, daB die Raumla- oden pro 5 K Temperaturerhohung der Sperr-
dungszone diinn ist im Vergleich zur Diffusions- sattigungsstrom etwa verdoppelt.
lange der Ladungstrager, flieBt dieser Locher- Bild 1-77 zeigt Darstellungen der Gl. (1-170),
strom nicht nur am Rand der RLZ, sondern wobei der OrdinatenmaBstab im Teilbild a in
durch die ganze RLZ praktisch unverandert. pA, im Teilbild b in mA gewahlt wurde. In bei-
Etwaige Rekombinationen in der RLZ werden den Fallen ist /s = 1 pA. Teilbild b zeigt, daB bei
also vernachlassigt. Erreichen einer bestimmten Spannung, die in
Analog zu den obigen Uberlegungen ergibt sich der GroBenordnung der Diffusionsspannung
fiir den Elektronenstrom, der vom linken Rand liegt und auch als Knick- oder Schleusenspan-
der RLZ ins p-Gebiet flieBt nung bezeichnet wird, ein starker Vorwarts-
strom flieBt.
eD kT^
j„(0) = - ^ n , o ( e ' ' ' - l ) . Wird die Diode in Sperrichtung betrieben, so
kann es zu einem Durchbruch kommen. Das
Auch dieser Strom ist naherungsweise durch die bedeutet, daB ab einer bestimmten Sperrspan-
ganze RLZ hindurch konstant. Die gesamte nung, der Durchbruchspannung, der Sperrstrom
Stromdichte im pn-Ubergang ist damit steil ansteigt. Dies beruht zum einen auf dem
Zener-Effekt, wobei nach Bild 1-78 a infolge der
groBen Feldstarke in der Raumladungszone
Elektronen aus dem Valenzband des p-Mate-
rials waagrecht uber die verbotene Zone ins
Fiir den Strom gilt Leitungsband des n-Materials gezogen werden
eU (tunneln). Der Zener-Effekt tritt bevorzugt bei
/ = / s ( ekT^ ^ - l ) , (1-170) stark dotierten Dioden auf und kann dort
schon bei wenigen Volt Sperrspannung einset-
mit dem Sperrsattigungsstrom zen.
1.8 Grundlagen der Halbleiterphysik 71

a) -I Der zweite Mechanismus, der zum Durchbruch


10 fiihrt, ist in Bild 1-78 b dargestellt. Ein Elektron
bewegt sich bei groBer elektrischer Feldstarke
8 so schnell, daB es bei einem ZusammenstoB mit
dem Gitter einen Teil seiner Energie abgeben
<
und ein neues freies Elektron-Loch-Paar erzeu-
^ 6 gen kann. Diese Ladungstrager werden in
gleicher Weise beschleunigt und konnen ihrer-
4 seits neue freie Paare schaffen, so daB der Strom
lawinenartig anwachst. Beide Effekte weisen
-0,06 -0,04 -0,02
2 eine gegenlaufige Temperaturabhangigkeit der
7 1 , 1 , 1 , ^
I ' l l —J'-^''^ 0,02 0,04 0,06 Durchbruchspannung l/^ (Z-Spannung) auf.
- u ly Bei Si-Dioden mit l/^ = 5,6 V laBt sich die beste
b)
Temperaturkonstanz der Durchbruchspannung
I
erzielen.
100
Zur tJbung
80 U i.8-1: Durch einen quaderformigen Germanium-
< Kristall mit der Stirnflache A = 2 mm^ und der Lange
^ 60 / = 10mm flieBt in Langsrichtung ein elektrischer
Strom.
40 - a) Wie groB ist der Widerstand R des undotierten
Halbleiters bei der Temperatur T = 250 K unter Be-
20 - riicksichtigung der Temperaturabhangigkeit der Be-
weglichkeit? Die Temperaturabhangigkeit des Band-
J_ ' 1 1 1 , 1 , 1 LVI , ^ abstandes soil nicht beriicksichtigt werden.
-0,6 -0,4 -0,2 0,2 0,4 0,6
(7/V
b) Wie groB ist der Widerstand, wenn die Tempera-
turabhangigkeit des Bandabstandes beriicksichtigt
Bild 1-77. Diodenkennlinie nach Shockley, 4 = 1 pA: wird?
a) Koordinatenursprung vergrofiert, b) Gleichrichter- c) Der Kristall sei mit Akzeptoren der Konzentra-
verhalten bei grofieren Spannungen und Sir omen. tion n^ = 10^"^ cm"^ dotiert. Berechnen Sie den Wi-
derstand bei der Temperatur T = 300 K. Die Dotie-
rungskonzentration ist so gering, daB die BewegHch-
keiten p^ und /ip fiir eigenleitendes Material verwen-
det werden konnen.
U L8-2: Ein Halbleiterkristall besteht aus Silicium,
dotiert mit Arsen der Konzentration Ur^ :2- 10^

a) Wieviel |ag Arsen ist in einem cm^ Sihcium ent-


hahen?
b) Wieviel Si-Atome kommen auf ein As-Atom?
c) Wie groB ist der mittlere Abstand der As-Atome
voneinander?
d) Wie groB ist der spezifische Widerstand Q bei
der Temperatur 7 = 300 K?
U 1.8-3: Ein dotierter Germanium-Kristall soil als
Temperatursensor bei tiefen Temperaturen verwendet
werden. Zur Kalibrierung miBt man seinen Wider-
stand bei der Temperatur desfliissigenHehums und
des fliissigen Wasserstoffs. Folgende Werte wurden
gemessen:
R (4,2 K) = 538 kQ, R (20,4 K) = 0,45 Q.
a) Bestimmen Sie die lonisationsenergie der Stor-
stelle. Mit welcher Substanz wurde der Halbleiter
Bild 1-78. Durchbruch despn-Ubergangs: a) Zener- dotiert? Nehmen Sie zur Berechnung an, daB die Be-
Effekt, b) Lawinenmultiplikation. weghchkeit sich nicht mit der Temperatur andert.
72 1 Grundlagen der Elektrotechnik

b) Welche Temperatur herrscht in einem Kryostaten, tung gewahlt, dann kann eine Einteilung nach
in dem der Widerstand des Sensors R = 15 MQ be- Bild 1-79 vorgenommen werden.
tragt?
Ist das Basismaterial Kunststoff, dann unter-
U 1.8-4: Ein kleiner Si-Kristall wird beleuchtet. Der scheidet man zwischen Leiterplatten und Strei-
Kristall ist mit «^= 10^"^ cm~^ Akzeptoren dotiert. fenleitern. Fiir Keramik findet eine Einteilung in
Die Generationsrate neuer Ladungstragerpaare be- Dickschicht- und Dunnschichttechnik statt.
tragtgf„ =fifp= 10'^cm-^
a) Welche Konzentration der Minoritaten stellt sich gedruckte Schaltung
bei Beleuchtung ein, wenn vorausgesetzt wird, dafi
sich die generierten Trager gleichmafiig im Kristall 1
verteilen und die Lebensdauer t^ = 1 |is betragt?
[• 1
Kunststoff Keramik
b) Wie groB ist der spezifische Widerstand bei Be-
leuchtung ^1 und bei Dunkelheit Q^I 1 1
c) Berechnen Sie den spezifischen Widerstand 1 1 1
Leiterplatten Streifenleiter Dickschicht DiJnnschicht
t = \\is nach Abschalten der Lichtquelle.
U 1.8-5: Ein GaAs-Kristall wird an der Stirnflache Bild 1-79. Einteilung der gedruckten Schaltungen.
beleuchtet. Der Kristall ist mit Donatoren der Kon- Auf den Leiterplatten, die als Trager der Bau-
zentration /It, = 10^^ cm ~^ dotiert. Infolge der Be- teile und zur Stromfiihrung dienen, werden die
leuchtung entsteht unmittelbar an der Oberflache die
Locherkonzentration pg = 10^^ cm~^. Bauteile mit ihren AnschluBdrahten (bedrah-
a) Wie groB ist die Diffusionskonstante Dp der tete Bauteile) eingelotet oder aber spezielle ober-
Locherbei r=300K? flachenmontierbare Bauteile aufgeklebt und
b) Wie groB ist die Diffusionslange L^ der Locher bei aufgelotet. In den letzten Jahren hat vor allem
einer Lebensdauer von ip = 10 ns? die 0MB- (Oberflachenmontierbare Bauteile),
c) In welcher Entfernung x von der Oberflache ist d. h. die SMD- (Surface Mounted Device) Tech-
die Locherkonzentration auf p = 10^'^cm"^ abge- nik an Bedeutung gewonnen. Sie wird hier SMT
klungen? (Surface Mounted Technology) genannt und in
U'1.8-6: Ein pn-tJbergang in Silicium ist auf der einem eigenen Abschnitt behandelt.
n-Seite mit ^D = lO^^cm"^ und auf der p-Seite mit
nj^= lO^'^cm"^ dotiert. 1.9.1 Leiterplatten
a) Wie groB ist die Diffusionsspannung U^l
b) Berechnen Sie die Breite d der Raumladungs- Die Leiterplatte besteht aus einem Basismate-
zone; wie breit ist die Ausdehnung d^ ins p-Gebiet rial aus Kunststoff. Sie dient dazu, die Bauele-
und d^ ins n-Gebiet? mente zu tragen und durch Stromfuhrungen zu
c) Wie groB ist die maximale Feldstarke E^^^l verbinden. Eine Vielzahl an Tragerwerkstoffen
d) Die Minoritatenlebensdauer sei T = 1 |is. Wie kommt - je nach Einsatzgebiet - in Frage. In
groB ist die Sperrsattigungsstromdichtejs? Tabelle 1-13 sind die Eigenschaften verglei-
chend gegenubergestellt. Leiterplatten auf Tef-
1.9 Herstellung kompletter lonbasis sind sehr teuer. Sie werden nur in der
Hochfrequenztechnik oder bei sehr hohen Um-
Schaltungen gebungstemperaturen eingesetzt. Ihre mechani-
Die elektronischen Bauteile einer Schaltung schen Eigenschaften sind schlecht; Teflon flieBt
miissen mechanisch gehalten und elektrisch mit- und laBt sich nicht richtig festschrauben. Fiir
einander verbunden werden. Hierzu dient hau- ganz besondere Anwendungen werden die Tra-
fig eine gedruckte Schaltung; das ist eine Platte gerwerkstoffe Polyimid/Quarzfaser und Poly-
aus Isolierstoff, auf der alle Bauteile befestigt imid/Kevlar eingesetzt.
und durch dunne Kupferbahnen miteinander Leiterplatten werden speziell fur die jeweilige
verbunden sind. Der Name „gedruckte Schal- Schaltung maBgeschneidert hergestellt. Wie
tung" ruhrt davon her, daB friiher die Leiter- Bild 1-80 zeigt, konnen sie einseitig oder zwei-
bahnen mit Siebdruck auf den mit einer dunnen seitig mit Leiterbahnen versehen sein und die
Kupferfolie kaschierten Isolierstoff aufge- Locher auch durchkontaktiert werden. Eine
druckt wurden. Die heute vielfach iiblichen fei- Durchkontaktierung verbindet primar Leiter-
nen Leiterbahnen miissen mit den genaueren bahnen in verschiedenen Ebenen, und sie er-
fotolithografischen Verfahren aufgebracht wer- hoht die Festigkeit der Verbindung Draht/Lei-
den. Wird als Oberbegriff die gedruckte Schal- terplatte erheblich. Eine sehr diinne, stromlos
1.9 Herstellung kompletter Schaltungen 73

Tabelle 1-13. Tragerwerkstoffe fur Leiterplatten und ihre Eigenschaften.

\. Trager- Glas/ Glas/ Glas/ Glas/ Glas/ Glas/ Alu-


\v werkstoff Epoxid- Polyamid Epoxid- Polyimid Epoxid- Teflon minium-
harz harz mit mit harz mit oxid/
Metall- Metall- hoher Keramik
kern kern Glas-
Sprung-
Eigen- \^^ tempe-
schaften \^ ratur

Volumen- 10^2 10^2 10^^ 10^2 10^^ 2-10^3 10^^


i ^
widerstand
Q/cm

Oberflachen- 10^1 10^^ 10^^ 10^^ 10^^ 3 • 10^^ 10^^


B widerstand
Q/cm^

Permittivitats- 4,8 4,8 - - 4,8 2,2 8


3 zahl e, (1 MHz)

maximale 130 250 130 250 130 340 400


Betriebs-
temperatur °C

thermische 0,15 0,35 vom vom 0,15 0,25 21


(L)
Leitfahigkeit Aufbau Aufbau
W/mK abhangig abhangig
2
thermischer 13 bis 17 12 bis 16 vom vom 6 bis 10 5 bis 7
Ausdehnungs- Aufbau Aufbau
koeffizient lO^K

Feuchte- 0,2 0,5 0,2 0,5 0,2 1,3 keine


absorption %

Kosten 0 + + + + + + + + + + +

abgeschiedene Kupferschicht in der Bohrung keit der besseren Warmeabfuhr. Zu diesem


wird galvanisch verstarkt und bildet mit der Zweck kann sogar ein spezieller innerer Metall-
Leiterplatte eine fein verzahnte, hohlnietartige kern (z. B. aus Cu/Invar; insbesondere zur Tem-
Verbindung. Beim Loten auf der Welle saugt peraturkompensation) dienen, der allerdings
sich die Bohrung infolge der Wirkung der Ka- sehr teuer ist. Die Komponenten- und Leiter-
pillarkraft mit Zinn voll und bildet eine sehr bahndichte kann wesenthch erhoht werden,
stabile Verbindung mit der Leiterplatte. Fiir wenn im Innern des Multilayers bestimmte
komplexere Schaltungen reichen zwei Leiter- Ebenen miteinander verbunden werden, und
bahnebenen nicht mehr aus, so daB Mehrebe- zwar durch von auBen nicht sichtbare Kontakt-
nen-Leiterplatten oder Multilayer hergestellt locher (buried holes). Ein Multilayer sollte
werden miissen. Wichtige elektrische Eigen- auBen die Signalebenen besitzen und im Innern
schaften wie Gleich- und Wechselstromwider- nur Masse und + 5 V. Wenn die beiden auBeren
stand sind einfacher festzulegen. Die Moglich- Signalebenen nicht ausreichen, sollten weitere
keit, Masse- und Stromversorgungsleitungen in Signalebenen im Inneren angelegt werden.
verschiedene Ebenen zu legen, bietet besondere Beim Schaltungsentwurf ist auBerst diszipliniert
elektrische Storfestigkeit (z. B. geringes Uber- vorzugehen, weil Fehler in Multilayern nach-
sprechen von Signalleitungen) und die MogHch- traglich nur schwierig zu beheben sind.
74 1 Grundlagen der Elektrotechnik

Die haufigsten Multilayer sind Vierebenen-Lei- Die StandardgroBe von Leiterplatten liegt bei
terplatten. Aber auch bis zu 24 und mehr Ebe- verschiedenen Abmessungen. Typisch ist die
nen sind heute technisch beherrschbar. Bild Europa-Karte mit 100 mm x 160 mm. Die Plat-
1-81 zeigt den Aufbau einer Mehrebenen-Lei- tendicken variieren von 0,6 mm bis 3,2 mm
terplatte. (typisch sind 1,5 mm bis 1,6 mm). In der Praxis
sind Leiterbahnbreiten und -abstande von
a)

/Y
0,3 mm bis 0,5 mm iiblich sowie Bohrloch-
/c f^'<> durchmesser von etwa 0,3 mm. Die Kupfer-
schichten sind meistens 17,5 \m\ dick. Je schma-
ler die Leitungen und die Abstande sind, desto
diinner muB die Kupferbahn sein, da mit zuneh-
b) mender Kupferdicke die Konturen ungenauer

^ ^ Z/ werden. In Ausnahmefallen werden die Leitun-


gen auch galvanisch verstarkt, wobei grobe Lei-
tungsstrukturen Voraussetzung sind.
Leiterplatten wurden friiher manuell entworfen,
was bei einfachen Leiterplatten auch heute

[' / ^? ^ 1 noch moglich ist. Mit zunehmender Komplexi-


tat der Schaltung wird der Entwurf uniiber-
sichthcher und die Zahl der Fehler nimmt stark
zu. Fiir Multilayer sind deshalb von der Ent-
wicklung bis zum Test Rechner im Einsatz. Bild

"• ^ // 1 / ^
1-82 zeigt eine mit Hilfe eines CAD-Systems
(CAD: Computer Aided Design) fertigungsge-
recht optimierte Leiterplatte.

3 ys \^ <•
=^-'

Bild 1-80. Verschiedene Typen von Leiterplatten:


1
1

a) einseitig, b) doppelseitig, c) Locher durchkontak-


tiert, d) Mehrebenen-Letterplatte.

Bild 1-82. Fertigungsgerechte Optimierung einer


Leiterplatte.
Werkfoto: IBM.

In einer rechnergestutzten Fertigung (CAM:


Computer Aided Manufacturing) werden die
Bild 1-81. Schematischer Aufbau einer Mehrebenen- Leiterplatten produziert und mit CAQ (Com-
Leiterplatte (Multilayer). puter Aided Quality) ihre Qualitat fortwahrend
Werkfoto: Philips Components. iiberwacht. Bei der Entwicklung von Leiterplat-
1.9 Herstellung kompletter Schaltungen 75

ten muB insbesondere darauf geachtet werden, metallisiert, weshalb sich der eigentUche Strei-
daB diese in alien Funktionen automatisch zu fenleiter auf der Vorderseite der Leiterplatte be-
testen und in automatisierten Fertigungssyste- findet. Damit sind auch die Toleranzen fur
men herzustellen sind. die Parallelverschiebung zwischen Vorder- und
Hinweis: Werden Leiterplatten mit Leitungsabstan- Riickseite groBer, was Fertigungs- und Priif-
den <0,5 mm auf der Lotwelle gelotet (s. Bild 1-86), kosten spart. AuBer dem einfachen Streifenlei-
dann entstehen leicht ungewollte Lotbriicken, die zu ter gibt es noch den Triplate-Streifenleiter (Bild
Kurzschlussen fiihren konnen. Deshalb werden diese 1-83 b). Der Streifenleiter befindet sich hierbei in
Leiterplatten normalerweise von beiden Seiten mit der Mitte des Dielektrikums, die Ober- und
einem warmefesten Lotstoplack bedruckt, der nur die Unterseite der Leiterplatte sind durchgangig
Ldtaugen frei laBt. Damit ist die Schaltung gegen metallisiert und liegen an Masse. Da das Feld
Lotbrucken, Schmutz und Feuchtigkeit geschutzt. nach beiden Seiten wirkt, kann die Streifenlei-
tung schmaler sein. Das elektrische Feld zwi-
1.9.2 Streifenleiter schen Innen- und AuBenleiter verlauft nur im
Streifenleiter (engl.: strip line) sind spezielle Lei- Dielektrikum und ist symmetrisch. Deshalb ist
terplatten, bei denen der Tragerwerkstoff und ein Triplate-Streifenleiter einfacher zu berech-
die Anordnung der Leiterbahnen als elektrisch nen als ein Streifenleiter mit einseitigem Dielek-
wirksame Bauelemente (z. B. Induktivitaten trikum und einseitiger Masse (Bild 1-83 a), bei
Oder Kapazitaten) in die Schaltung einbezogen dem das elektrische Feld teilweise im Dielektri-
werden. Deshalb sind die elektrischen Eigen- kum und teilweise in der Luft verlauft (zur Be-
schaften der verwendeten Materialien (z. B. die rechnung s. Abschn. 4.3). Einseitige Streifenlei-
Permittivitatszahl s^ des Tragerwerkstoffs) so- tungen sind wesentlich einfacher herzustellen
wie die Abmessungen der Leiterbahnen und de- und zu priifen als Triplate-Streifenleiter, wes-
ren Toleranzen von besonderer Bedeutung. An- halb sie entsprechend weiter verbreitet sind. Als
wendung finden die Streifenleiter in der Hoch- Dielektrikum und als Tragerwerkstoff fiir die
frequenztechnik. Durch eine festgelegte Lei- Leiterplatte wird wegen der guten HF-Eigen-
tungsbreite wird eine definierte Induktivitat je schaften meistens Teflon verwendet. Dieses
Langeneinheit dL/dl erzeugt und durch eine de- teure Basismaterial muB zudem noch enge me-
finierte Dicke d und einer vorgegebenen Permit- chanische Toleranzen aufweisen, weshalb die
tivitatszahl 8r wird eine definierte Kapazitat je Fertigungskosten erheblich sind.
Langeneinheit dC/dl eingestellt. Fiir die Impe- Teilbild 1-83 c zeigt eine Streifenleiterschaltung
danz Z gilt dann: fiir 5 GHz. Die breiten Leitungen sind Streifen-
leiter, die schmalen wirken als Induktivitat, die
Z = J{dL/dl)/idC/dl) = JUC . Kreissektoren dienen als Kondensatoren.
Bild 1-83 a zeigt einen typischen Streifenleiter. In Bild 1-84 ist ein HF-Verstarker mit geoffne-
Die Riickseite der Leiterplatte ist durchgehend ter Abschirmung und ein Teil der notwendigen

b)

Masse

I I I U I II II

11II I'l I'l I'lyri I'11'11111 c


Masse - Masse

Bild 1-83. Streifenleiter: a) normaler Streifenleiter, b) Triplate-Streifenleiter, c) Streifenleiter im Eins


Werkfoto: SEL.
76 1 Grundlagen der Elektrotechnik

• Permittivitatszahl e^ wahlbar mit sehr enger


Toleranz,
• extrem kleiner Verlustfaktor tan 5 bei der
hohen Arbeitsfrequenz,
• Leiterziige mit genauer Impedanz und des-
halb genauesten mechanischen Abmessun-
gen.
Tabelle 1-14 zeigt die eingesetzten Tragermate-
rialien und ihre elektrischen Eigenschaften im
Bild 1-84. HF-Verstdrker mit Streifenleiter und nor- Vergleich zu Glas/Epoxid.
malen Kupferbahnen. Werkfoto: SEL
niederfrequenten Ansteuerkreise auf einer Lei- 1.9.3 SMT (Surface Mounted Technology)
terplatte aufgebaut. Diese Bauweise ist kosten- Die Fertigungstechnologie, Bauelemente direkt
giinstig. Das Basismaterial der Leiterplatte wird auf der Oberflache einer Leiterplatte zu befesti-
durch die geforderten HF-Eigenschaften be- gen, wird als SMT (Surface Mounted Techno-
stimmt. logy) bezeichnet. Die Forderungen, einerseits
Folgende Anforderungen fur Streifenleiter in elektronische Schaltungen immer kleiner zu
der HF-Technik miissen unbedingt erfuUt sein: bauen, d. h. die Bauteile dichter zu packen, und
Die Durchkontaktierungen miissen bei alien andererseits moglichst wirtschaftlich zu produ-
Schaltungen absolut sicher sein, da sonst die zieren, haben dazu gefuhrt, die Verbindungs-
Schaltungen nicht zuverlassig arbeiten. Bei HF- drahte zwischen dem Bauteil und der Leiter-
Schaltungen werden zur Verringerung der Lei- platte wegzulassen und das Bauteil direkt auf
tungsinduktivitat oft viele Durchkontaktierun- Lotflecken (engl: pads) auf der Leiterplatte fest-
gen parallel geschaltet. Dies beinhaltet aber zuloten. Dadurch werden folgende Arbeits-
folgende Problematik: Sind einzelne Durch- gange eingespart:
kontaktierungen defekt, dann wird das HF-Ver- • An den Bauteilen miissen keine AbschluB-
halten merklich beeinfluBt, wobei die Fehler drahte befestigt, gebogen und abgeschnitten
sehr schwer festzustellen sind. Die weiteren An- werden;
forderungen an die mechanischen und elek- • auf der Leiterplatte miissen keine Locher ge-
trischen Eigenschaften des Tragermaterials sind bohrt werden, wodurch die Kupfereinspa-
folgende: rung erheblich ist;
• sehr homogenes Tragermaterial, • es kann Platz gespart werden und die Bestiik-
• sehr enge Dickentoleranzen des Tragermate- kung kann automatisch erfolgen.
rials, Andererseits miissen zahlreiche neue Probleme
• absolut sichere Durchkontaktierung, gelost werden:

Tabelle 1-14. Tragermaterialien fiir Streifenleiter und ihre elektrischen Eigenschaften.


XTragermate- Teflon Polystyrol Polyethersulfon Epoxid/
ElekNv "^1 Glas-
trische ^ \ rein Glas Keramik rein Glas- rein Wirrglas gewebe
Eigenschaftehv gewebe

Permittivitats- 2,06+0,05 2,25+0,02 6 bis 10 2,5 + 0,02 2,6+0,02 3,5 4,2 4 bis 5
zahl Ej. + 0,25
im
Frequenz- 10^ bis 10 GHz 10 GHz 10 GHz 10 GHz IMHz IMHz IMHz
bereich 10^° Hz

Verlustwinkel 6-10-^ 9 10-^ 15-10-^ 10-10-^ 20-10-^ 50-10-^ 20-10-^ 200-10-^


tan d im
Frequenz- 10 GHz 10 GHz 10 GHz 10 GHz 10 GHz IMHz IMHz IMHz
bereich
1.9 Herstellung kompletter Schaltungen 77

• Die Bauteile mussen eine haltbare und gut • Abmessungen und


Toleranzen der
lotbare Metallisierung aufweisen; Bauelemente
• das Leiterplattenmaterial und die Bauteile • Zufiihrungssysteme
haben verschieden groBe thermische Ausdeh- • Genauigkeit der
Positionierung
nungskoeffizienten. Die Metallisierung, die
Lotstellen und die Leiterplatten mussen diese
mechanischen Spannungen sicher aushalten; • Positioniergeschwindigkeit
• Toleranzen von
• Positioniergenauigkeit
• die Lotpasten und das FluBmittel mussen Bauelementen
• Bauelementdichte
und Leiterbahnen
eine sichere und saubere Lotstelle garantie- • Auswahl des • Klebeverfahren,
ren; es darf aber nichts korrodieren; Klebers und des Klebegenauigkeit
Lotverfahrens • LeiterplattengroKee
• zwischen dem Bestiicken und dem Loten
• Temperaturverlauf und Transport
mussen die Bauteile ausreichend auf der Lei-
terplatte fixiert sein; dabei klebt man die
Bauteile auf der Unterseite der Leiterplatte,
die auf der Welle gelotet werden, fest, wah-
rend die Bauteile auf der Oberseite durch die
Adhasion der Lotpaste ausreichend fixiert
sind.
Bild 1-85. SMT als technisches Gesamtsystem.
Diese Probleme sind nicht isoliert, sondern nur
im Gesamtzusammenhang zu losen, d.h. als
technisches Gesamtsystem.

SMT als technisches Gesamtsystem


Aufgabe des SMT ist es, eine Leiterplatte so zu
bestucken, daB die technischen Kennwerte und
die wirtschaftlichen Randbedingungen erfullt
werden. Um diese komplexe Anforderung erfiil-
len zu konnen, muB man die SMT als techni-
sches Gesamtsystem betrachten, das im wesent-
lichen aus folgenden drei Teilen besteht (Bild
1-85):
1. SMD-Bauelemente und Leiterplatte,
2. Bestiickungssystem und
3. Fertigungsverfahren einschlieBlich Qualitats-
sicherung.
Aus den engen Beziehungen und Wechselwir-
kungen zwischen den einzelnen Teilen entstehen
Bild 1-86. SMD-Bauelemente.
Fragestellungen, die nicht isoliert zu betrachten Werkfoto: SMC.
sind. Wie Bild 1-85 zeigt, sind aus dem Zusam-
menspiel von SMD-Bauteilen und SMD-Ferti-
gungsverfahren beispielsweise folgende Pro- mussen zur Oberflachenmontage speziell ent-
bleme zu losen: wickelt werden. Solche Bauelemente heiBen
SMD (Surface Mounted Devices). Bild 1-86
• Toleranzen von Bauteilen und Leiterbahnen, zeigt eine Auswahl aus SMD-Bauelementen.
• Auswahl des Klebers und des Lotverfahrens, Die groBe Bedeutung dieser Bauelemente zeigt
• Wahl eines giinstigen Temperaturverlaufes. sich darin, daB bereits 1990 weltweit uber 40%
aller Bauelemente vom Typ SMD sind.
SMD-Bauteile Mit besonderen Fertigungsverfahren werden
Die bekannten elektrischen passiven und akti- diese SMD beispielsweise auf Leiterplatten ge-
ven Bauelemente (z. B. Widerstande, Kaltleiter, klebt. Tabelle 1-15 zeigt die Vor- und die
HeiBleiter, Kondensatoren, Spulen, Ubertrager Nachteile der SMT im Vergleich zur herkomm-
oder Dioden, Transistoren, Speicher und IC) lichen Bestiickung mit bedrahteten Bauteilen.
78 1 Grundlagen der Elektrotechnik

Tabelle 1-15. SMT und herkommliche Bestuckung im Vergleich.

Miniaturisierung

• kleinere Bauelemente • Zweiseiten-Bestiickung


• bis zur Halfte weniger Gewicht

Verringerung der Kosten

• kleinere Leiterplattenformate bzw. weniger Leiterplatten


• weniger Bohrldcher fiir bedrahtete Bauelemente
Vor- • geringere Bestiickungskosten (bis 360000 SMDS/h)
teile • drei- bis fiinfmal hohere Produktivitat
• Wegfall von Vorbereitungsarbeiten (z. B. Sichern, Schneiden und Biegen von AnschluBdrahten)
• hohe Bestiickungssicherheit (kaum Nacharbeit)

Hohere Qualitat

• hohere Qualitat der SMD-Bauteile


• hohere Zuverlassigkeit im Betrieb

Giinstigere HF-Eigenschaften

• Wegfall parasitarer Induktionen (AnschluBdrahte)


• bessere Kapazitaten (kleinere Abmessungen)

• hohe Investitionskosten
• hohe Rustkosten
• hohe Einmalkosten (Programmierkosten)
Nach- • keine Widerstande mit hohen Leistungen (> 1 W), montierbar
teile • Steckerbefestigungen und Anbringen von LLCC (Leadless Chip Carrier) schwierig
• Probleme bei der Befestigung, wenn Ausdehnungskoeffizienten der Leiterplatte und der Bau-
teile zu unterschiedlich

Bestiickungstechnologie Die Gurte erfullen die Anforderungen nach


einer storungsfreien und kontinuierlichen Zu-
Fiir die automatisierte Bestuckung mit SMD-
fiihrung zum Bestiickungsautomaten, nach im-
Bauteilen kommt der Anlieferform groBe Be-
mer gleicher Orientierung in der Verpackung,
deutung zu. Durchgesetzt haben sich Gurte
nach Standardisierung, nach produktionsge-
nach D I N / I E C 286-3 mit den Breiten von 8,12,
rechten Mengen je Packungseinheit und nach
16 und 24 mm (Bild 1-87).
Schutz beim Transport.

Verbinde-Technologie
Die SMD-Bauteile befestigt man durch Kleben
(fiir eine provisorische Befestigung von SMD-
Bauteilen auf der Unterseite der Leiterplatte)
und anschlieBendes Loten (endgiiltige Befesti-
gung mit Stromzufiihrung) auf der Leiterplatte.
Der Kleber kann durch folgende drei Verfahren

I^\\v^^
Bild 1-87. Gurte fur SMD-Bauteile.
Werkfoto: Philips Components.
aufgetragen werden:

1. Pin-Transfer
Ein Stempel mit Stiften (pins) taucht in die Kle-
bermasse. Er wird an die Klebestelle gefiihrt
1.9 Herstellung kompletter Schaltungen 79

(Transfer), wodurch an den betreffenden Stellen 1. Reflow-Loten


auf einmal der Kleber aufgebracht wird. Die SMDs fixiert man durch die Lotpaste, eine
Mischung aus Zinnpulver und FluBmittel.
2. Dispenser Wahrend des Lotens zentriert sich das Bau-
Mit Hilfe einer druckgesteuerten Diise (Dispen- element durch die Oberflachenspannung des
ser) bringt man Klebepunkte nacheinander auf. fliissigen Lots selbst. Das Lot erwarmt man
anschlieBend durch Infrarot-Strahlung (z. B.
durch Heizplatten) oder durch HeiBluft bis etwa
3. Siebdruck 225 °C.
Bei dieser Technik preBt man den Kleber mit
einem Rakel durch das Sieb. 2. Doppel-Wellenloten
Je nach Anwendung gibt es eine Fulle verschie- Die SMD konnen auch in zwei Wellen festgelo-
dener Kleber (Ein- oder Zweikomponenten), tet werden. Die erste Welle bringt das Lot an die
die moglichst neutral und saurefrei (wegen der entsprechenden Stellen und verlotet die Bau-
Oxidationsgefahr der Leiterbahnen) sein soil- teile mit ZinnuberschuB sicher auf der Leiter-
ten. Die Kleber unterscheiden sich hauptsach- platte, wahrend die zweite Welle das ubrige Lot
lich in der Topfzeit (Verarbeitungszeitraum), in entfernt.
der Lagerzeit (Zeitraum ohne Verschlechterung Diese beiden Verfahren sind derzeit am haufig-
der wichtigsten Eigenschaften) und in der Aus- sten im Einsatz. Einen Vergleich des Prinzips
hartezeit. und des Temperatur-Zeit-Diagramms zeigt Bild
Fiir die SMT gibt es folgende verschiedene Lot- 1-88.
verfahren: Das Doppel-Wellenloten eignet sich besonders

Lottechnik

Reflow- Loten Wellen-Loten

Pri n z i p

Durch die Oberflachenspannung Doppelwellen- Lotanlage


der Lots wird das Bauelement 1. Welle: UmspiJIen und Verloten
zentriert. der Bauelemente
2. Welle: Entfernen der ijber-
schiissigen Lotmasse

LotH-

Temperatur-Zeit-Diagramm

i Vor- Loten Abkiihlen


Vor- 1 Loten AbkiJhlen
heizen
heizen (bis
10s) 255
(bis
-250 60s)
i 200 B 200

E 100 100

, •

Zeit f / s 5 10 15 20
Zeit 11 s
Wird bei der Doppelwelle zweimal durchlaufen.

Bild 1-88. Reflow-Loten und Doppelwellen-Loten im Vergleich.


80 1 Grundlagen der Elektrotechnik

zur Mischbestiickung, d. h. wenn bedrahtete als Schichten ausgebildet sind. Als Substrat
und SMD-Bauteile zusammen auf der Leiter- dient das keramische Tragermaterial Alumi-
platte sind (s. Bild 1-89). niumoxid (AI2O3), das eine gute Warmeleit-
Das nachfolgende Verfahren ist nur wenig im fiihigkeit und eine hohe Temperatur- und Kor-
Einsatz: rosionsbestandigkeit aufweist. Folgende Schich-
ten werden im Siebdruckverfahren aufgebracht,
3. Stempel- und Laser-Loten getrocknet und bei Temperaturen um etwa
700 °C gesintert:
Die Lotstellen werden mit induktionsbeheizten
- lotfahige Leiterbahnen
Stempeln oder durch Laserstrahlen erwarmt.
Dies gestattet sehr kurze Lotzeiten, und die Verwendung findet eine gut haftende Pd/Ag-
Bauelemente werden keinen groBen Warmebe- Paste mit einem Flachenwiderstand von 30 mQ
lastungen ausgesetzt. bis 35 mQ.
Bild 1-90 zeigt als Beispiel das Verfahren der - Lotpaste
Mischbestiickung (Teilbild a) einer doppelt be- Auf die Leiterbahnen aus Pd/Ag konnen sehr
stiickten Leiterplatte (Teilbild b). gut Lotpasten aus Sn/Pb/Ag in einer Schicht-
Grundsatzhch kann man feststellen, daB die dicke von etwa 0,2 mm aufgedruckt werden.
SMT fiir alle Bereiche der Elektronik geeignet Nach dem Aufschmelzen hat der Flachenwider-
ist, so daB in den folgenden Jahren ein wachsen- stand auf <10mQ abgenommen.
der Anteil der SMD-Bestiickung zu erwarten - Leiterbahnpasten
ist.
Sie enthalten Edelmetalle wie Ag, Au, Pd oder
Pt.
1.9.4 Dickschicht-Technologie
- Widerstandspasten
Schaltungen in Dickschicht-Technik gehoren Sie enthalten fur sehr stabile Widerstande u. a.
zu den integrierten Schichtschaltungen, weil das Edelmetall Ruthenium (Ru). Die Pasten
wesentUche Teile der Schaltung (z. B. Leiterbah- haben dekadisch gestufte Flachenwiderstande
nen, Widerstande und bedingt Kondensatoren) von 1 Q bis 1 MQ. Die Widerstande werden
nach dem Drucken mit Hilfe des Siebdruckver-
fahrens und Einbrennen mit Hilfe eines Laser-
strahls mit hoher Genauigkeit abgeglichen. Da
bei diesem Verfahren nur eine Widerstandser-
hohung moghch ist, werden die Widerstande
beim Aufbringen ledighch zu etwa 70% ihres
K^'^4^ ^%
Endwertes ausgelegt.
- Isolierpasten
Zum Aufbringen von Isoherschichten bei Mehr-
lagenschaltungen oder bei Leitungskreuzungen
werden dielektrische Pasten auf der Basis von
Glasern (amorph oder kristaUin) oder Glas-
Keramiken verwendet. Die Dielektrizitatszahl
ppsill^'^ £r liegt bei 7 bis 10, der Verlustfaktor tan (5
bei <0,01, der Isolationswiderstand betragt
>10^^Q und die Spannungsbelastbarkeit L/^ei
ist >500V.
Fiir Schaltungen mit einer hohen Packungs-
dichte werden Multilayer, geringe Leiterbahn-
breiten sowie ungekapselte Halbleiterkristalle
verwendet. Die Halbleiterkristalle werden mit
Bild 1-89. Ausschnitt einer bestiickten Leiterplatte Hilfe von Gold-Bonddrahten (10 jim bis 100 }im
mit bedrahteten und SMD-Bauteilen. Durchmesser) mit dem Substrat verbunden
Werkfoto: SEL. (Bild 1-91).
1.9 Herstellung kompletter Schaltungen 81

_^
•T-Q

_ 1
Q. 0)

I t ^'^^
CO

1=H
<U "D
0 |

H=i

i=*

3 t
82 1 Grundlagen der Elektrotechnik

a) Ausgangssubstrat
lasergebohrt, 0,4 mm Durchmesser

WW^
Bildl-91. Dickschichtschaltung in mehreren Lagen
mit Halbleiterchips.
Werkfoto: ANT.

b) Leiterbahnen (Vorderseite)
AgPd, Schichtdicke 15|jm

In Bild 1-92 ist das Fertigungsverfahren darge-


stellt.
Das Substrat wird zunachst gelasert bzw. ge-
stanzt und die Leiterbahnen auf der Vorder-
und Ruckseite ungesintert in einer Dicke von
20 )im bis 25 |im oder gesintert von 10 jam bis
15 |am aufgebracht. AnschlieBend druckt man
die Widerstande auf und gleicht sie mit dem
Laserstrahl statisch ab. Eine Glaspaste schutzt c) Leiterbahnen (Ruckseite)
AgPd, Schichtdicke 15 pm
und isoliert die Widerstande und die Leiterbah-
nen (bis auf die Kontaktflachen). Danach bringt
man auf den Kontaktflachen das Lot auf, um
die sonstigen Bauelemente (meist SMD) befesti-
gen zu konnen. Zum SchluB werden die Wider-
stande dynamisch mit einem Laser abgeglichen.
Bild 1-93 zeigt eine Dickschichtschaltung mit
passiven und aktiven SMD-Bauteilen.
Dickschichtschahungen zeichnen sich durch
folgende Vorteile aus: d) Widerstande
Flachenwiderstand: 10O, 100Q, 1 kQ
- sehr groBer Widerstandsbereich,
- kleine parasitare Kapazitaten,
- enge Toleranzen durch den statischen und
dynamischen Laserabgleich,
- hohe elektrische StabiUtat und
- gute Warmeleitfahigkeit.
Die Vorteile der Dickschicht-Technik liegen in
der Zuverlassigkeit und in der Kompaktheit der
Gerate. Aus diesem Grunde sind die Anwen-
dungsbereiche sehr vielfaltig. Einige seien bei- e) Passivierung
spielhaft genannt: Schutzglasur fijr Leiterbahnen, Schichtdicke 15 pm
1.9 Herstellung kompletter Schaltungen 83

1.9.5 Diinnschicht-Technologie
Mit Hilfe der Dunnschicht-Technologie ist es
mogUch, noch kleinere Schaltungen oder noch
hohere Speicherdichten zu bauen, wobei die Be-
triebssicherheit gleichzeitig gesteigert werden
kann. Wesenthche Einsatzgebiete sind in der
Feinstleitertechnik (etwa 0,1 jiim Dicke), der
Sensorik im Niedrigkosten-Bereich (z. B. Dehn-
f) Belotung meBstreifen), der Integrierten Optik (z. B. op-
SnPbAg auf Kontaktstellen, Schichtdicke 0,2 mm tische Bauelemente und Schaltungen, wie ein
FaserkreiselkompaB) und der Hochfrequenz-
technik, die im folgenden Gegenstand unserer
jgiy^tJJlMi Abhandlung ist.
In der Diinnschicht-Technik werden diinne
Schichten (Abmessungen bis 2 \\xa Breite und
0,01 |im bis 3 |im Dicke) aus Metallen oder Iso-
lationswerkstoffen auf Tragermaterialien (Sub-
strate) wie Kunststoffe, Glas, Keramik oder Sih-
cium aufgebracht (Tabelle 1-16). Als Substrat
g) Widerstandsabgleich mit Laser
beim Einsatz in der Hochfrequenztechnik ver-
Bildi-92. Prozefifolge zur Herstellung einer Dick- wendet man meist wie in der Dickschicht-Tech-
schichtschaltung. nik AI2O3, auf das man eine 0,02 ^im dicke Me-
Werkfoto: ANT. tallschicht aus NiCr-Ni in Hochvakuuman-
lagen durch Kathodenzerstaubung oder ther-
mische Verdampfung aufbringt. AnschlieBend
tragt man nach dem Fotohthografieverfahren
und durch galvanische Abscheidung die Leiter-
bahnschichten (meist Au) auf. Haufig sind noch
Haft- oder Diffusionssperrschichten einzulegen.
Als Widerstandschicht dient TaN und NiCr mit
unterschiedlich einstellbarem Flachenwider-
stand. Tabelle 1-16 zeigt den Vergleich zwischen
Dick- und Diinnschichttechnik.
Die wesentlichen Vorteile der Diinnschichttech-
nik sind:
- hohe Widerstandsprazision,
- guter thermischer Gleichlauf der Wider-
stande,
Bildl-93. Beidseitig beschichtete Dickschichtschal- - sehr hohe Packungsdichten und
tung. - sehr gute Hochfrequenzeigenschaften.
Werkfoto: ANT.
In Bild 1-94 ist das Schema des Herstellungs-
prozesses einer Diinnschichtschaltung zu sehen.
Bild 1-95 zeigt eine Diinnschichtschaltung mit
in der kommerziellen Technik bei hoher Zu- SMD-Bauteilen.
verlassigkeit und hoher Verlustleistung (z. B. Die Diinnschicht-Technik wird fiir die Elek-
Gerate fiir groBere Ubertragungskapazitaten tronik zunehmend wichtiger. So stellt man u. a.
bei Breitbandsystemen); auch hochprazise Widerstande aus einer diin-
fiir Teilschaltungen in hoher Stiickzahl vor nen Platinschicht auf einem Keramiksubstrat
allem im Automobilbau und her. Ferner ist es moglich, die diinnen Schichten
bei engen Raumverhaltnissen. nicht nur aufzubringen, sondern sie mit Hilfe
84 1 Grundlagen der Elektrotechnik

Ausgangsmaterial
Al203-Substrat

Aufbringen der
NiCr-Schicht

Auftragen der fotoemp-


findlichen Schicht, Be-
lichten mit Leiterbahn-
foto und Entwickein

Galvanik-ProzeB
(Aufbringen der Gold- Bildl-95. Dunnschichtschaltung mit SMD-Bautei-
leiterbahnen)
len.
Werkfoto: ANT.
Auftragen der fotoemp-
findlichen Schicht,
Belichten mit Wider-
standsfoto, Entwickein,
GalvanikprozeB und
Laserabgleich

BestiJckung mit SMD-


Komponenten und
IVIontage der AnschluB-

tliiiiiilllliH^^ stifte

BestiJckung und Bon-


den der ungekapselten
Kristalle (evti. dynami-

rnnnrmiTTfT scher Laserabgleich)

Bild 1-96. Schnitt durch eine Mikrospule (Leiter-


Abdeckung der unge- bahnhreite 2 jum, 25 Windungen, Aufiendurchmesser
kapselten Kristalle 2 mm).
Werkfoto: HL-Planartechnik.

Umhullungder Schal-
tung, Schneiden der
AnschluBstifte und
100%-Endtest

Bildl-94. Prozefischritte zur Herstellung einer


Dunnschicht-Schaltung.
Werkfoto: Philips Components.

der Fotolitografie auch zu strukturieren. Auf


diese Weise kann man Strukturen bis zu Dicken
von Atomlagen ( < 1 nm) erzeugen. Bild 1-96
zeigt beispielsweise einen Schnitt durch eine
Mikrospule.
Bild 1-97. Magnetoresistive Sensoren auf einer Sili-
Mit der Diinnfilmtechnik werden beispielsweise cium-Scheibe in Planar technik.
auch Sensorelemente hergestellt. Bild 1-97 zeigt Werkfoto: HL-Planartechnik.
1.9 Herstellung kompletter Schaltungen 85

Tabelle 1-16. Vergleich zwischen D i c k - und Diinnschichttechnik (nach Valvo).

Dickschichttechnik Dunnschichttechnik

Material AI2O3, 96% Reinheit AI2O3, 99,6% Reinheit


bevorzugte Abmessungen ^) < 50,8 X 50,8 X 0,63 mm^ < 114,3 X 95,3 X 0,63 mm^
Dichte 3,7 g/cm^ 3,9 g/cm^
"cd
1/3 Warmeleitfahigkeit 24W/mK (20 bis 100 °C) 28W/mK (20 bis 100 °C)
in Ausdehnungskoeffizient 6,4-10-VK (20bis300°C) 6 , 4 - 1 0 - V K (20bis300°C)
Spezifischer Widerstand (25 °C) >10^'*Qcm >10^^Qcm
Dielektrizitatskonstante (1 MHz) 9,3 9,9

Material Fasten auf Edelmetallbasis, Nickel-Chrom, TaN


Ag, Au, Pd, Pt
Schichtdicke 10 |im bis 20 jam 0,02 jim bis 5 )im
Widerstandsbereich, standardm. 10 Q bis 10 MQ 20 Q bis 10 MQ
max. 50 mQ bis 100 M Q
Toleranz (25 °C), standardm. ±10%, ± 5 % , ± 2 % , ± 1 % ±2%, ± 1 % , ±0,5%
:0j
min. ±0,5% ±0,1%
'1
CD Abgleichtoleranz ±0,1% ±0,05%
• ^ Gleichlaufstabilitat 0,25% 0,1%
Temperaturkoeffizient
standardmaBig ( - 5 5 bis 125 °C) 0 ± 2 5 0 p p m / K , 0 ± 1 0 0 p p m / K 40±20ppm/K
minimal ( — 55 bis 125 °C) 0 ± 5 0 p p m / K <±20ppm/K
relativ ± 2 0 bis ± 5 0 p p m / K ± 5 ppm/K bis 10 ppm/K
Belastbarkeit 0,16 W/mm^ 0,04 W/mm^

Material, je nach Anwendung, AgPd, 10 bis 80 Au, max. 20


mQ/m^ AgPd, verzinnt 2 bis 5
AgPt 2 bis 50
PtAu 60 bis 100
Au 2 bis 5
G PdAu 80 bis 100
r-i
Widerstandswerte giiltig fiir
Schichtdicken nach dem Sintern 8 |im bis 15 }im ca. 1,5 )am
<D Leiterbahnbreite, min. 200 lam > 2 |im
Leiterbahnabstand, min. 200 ^m 100 |xm, 50 i^m auf Anfrage
Koppelkapazitat zwischen
- parallelen Leiterbahnen
200 \xm Breite/400 |im Abstand 0,5 pF/cm bis 0,7 pF/cm 0,5 p F bis 0,7 pF/cm
- sich kreuzenden Leiterbahnen,
abhangig von jeweiligen Breiten 0,5 p F bis 0,7 p F nicht vorgesehen

eine Siliciumscheibe mit etwa 3000 magneto- bedrahteter Technik als SMD-Bauteile oder un-
resistiven Sensoren. gekapselte Halbleiterkristalle (Chips) verwen-
det. Dabei kommt, je nach Anwendungsgebiet,
1.9.6 Hybrid-Technologie die Dick- oder die Dunnschichttechnik zum
Einsatz.
Bei dieser Technologic werden sowohl elektri-
sche Bauteile in Form von Schichten mit elek- In Bild 1-98 ist eine Hybridschaltung in Dick-
trischen Eigenschaften als auch Bauelemente in schicht-Technologie wiedergegeben.
86 1 Grundlagen der Elektrotechnik

buchstabe mit kleinen Indizes den


verUnder lichen Signalanteil.
Bild 1-99 veranschauhcht einige GroBen.
Elementare elektrische Bauteile werden norma-
lerweise mit den GroBbuchstaben R, L und C
bezeichnet. Physikahsch direkt vorhandene
Bauteile, beispielsweise die externe Beschaltung
eines Transistors, werden immer mit GroBbuch-
staben bezeichnet. Dagegen werden inharent
vorhandene GroBen, beispielsweise der Basis-
Emitterwiderstand eines Transistors, mit dem
Kleinbuchstaben r bezeichnet. Der selten be-
nutzte Absolutwiderstand heiBt rgg, wahrend
der haufig gebrauchte interne differentielle Ba-
sis-Emitterwiderstand mit r^^ bezeichnet wird.
Interne Kapazitaten C, und Induktivitaten L,
werden immer mit GroBbuchstaben bezeichnet.
Bild 1-100 verdeutlicht den Zusammenhang.
Dabei ist der innere Absolutwiderstand rgg =
Bild 1-98. Hybridschaltung in Dickschicht-Technolo- L/BE/^B5 wahrend der fiir den Kleinsignalbetrieb
gie. wichtige differentielle Widerstand r^e = AL/BE/
Werkfoto: Philips Components.
A/g ist.

1.10 Bezeichnung elektrischer 1i

GroBen '7'
::) X \ ^be"N^ l^be
Bei der Beschreibung und Berechnung elektri- D5
C
•3
scher Schaltkreise werden haufig TeilgroBen der C
C

elektrischen Parameter betrachtet, um die Be- (A


cn
rechnung zu vereinfachen oder klarer darzustel- V)
CD
^BE ^BE
QU (ohne Signal)
len. D I N 41 785 regelt die Bezeichnungen.
Die GroBen bestehen aus dem Symbol fur die
physikaHsche Einheit, das mit einem oder meh- '' '
reren Buchstaben oder Zahlen indiziert wird. Zeit t
Dadurch lassen sich verschiedene GroBen oder Bild 1-99. Bezeichnung elektrischer Grofien.
TeilgroBen mit der gleichen physikaHschen Ein- 0,5 f
heit, beispielsweise der Spannung (7, eindeutig
unterscheiden. Die folgende Aufstellung zeigt
0,4
einige wichtige Bezeichnungen.
<
(7, /, P Gleich', Mittel-, Absolut- und Effek- £
tivwerte werden mit GroBbuchstaben ^ 0,3

w, I, p
bezeichnet.
Augenblickswerte werden stets mit
^J
j
12 0,2
Kleinbuchstaben bezeichnet.
^BE? ^BE Gesamtwerte werden mit GroBbuch- A^E
staben indiziert, diese Werte werden 0,1
von null an gezahlt. ''BE
L/be Besteht eine Spannung aus einem
konstanten Gleichanteil, beispiels- 0 0,2 0,4 0,6 0,8 1,0
weise dem Mittelwert L^BE(AV) ^ ^ ^ ^i" Basis-Emitterspannung U^^IM
nem iiberlagerten Wechselspannungs- Bild 1-100. Absoluter Widerstand R^^ und differen-
anteil U^^, dann bezeichnet ein GroB- tieller Widerstand r^^.
2.1 Elektronische Bauelemente 87

2 Passive Bauelemente eine SignalgroBe und eine Hilfsenergie (Strom-


versorgung), wobei die SignalgroBe am Eingang
jenen Anteil der Hilfsenergie steuert, der zum
2.1 Elektronische Bauelemente Ausgang flieBt. Aktive Bauelemente konnen
deshalb verstarken und schwingen. Zu den pas-
siven Bauelementen gehoren der Widerstand
2.1.1 Ubersicht (R), der Kondensator (C), die Spule (L) und die
Die Elektronik befaBt sich mit den Vorgangen Diode (D), zu den aktiven der Transistor (T), der
der Bewegung elektrischer Ladungstrager Thyristor, integrierte Schaltungen und sonstige
(meist Elektronen) in Festkorpern, Fliissigkei- Bauelemente. Die weitere Unterteilung zeigt
ten und Gasen (zur Halbleiterphysik, s. Abschn. Bild 2-2.
1.8). Der Begriff Bauelement ist in DIN 40150
festgelegt und ist demnach hinsichtlich der Da- 2.1.2 Anforderungen und
tenangaben, der Priifung, der Anwendung und Anwendungsklassen
der Instandsetzbarkeit die kleinste, nicht weiter Je nach Einsatzgebiet sind an elektronische
zerlegbare Einheit in der Elektronik (z. B. Wi- Bauelemente folgende unterschiedliche Anfor-
derstande, Kondensatoren, monolithische IC, derungen zu stellen:
engl.: Integrated Circuits). Die weiteren Be-
- Elektrische Sicherheit (VDE-Vorschriften),
griffsbestimmungen nach DIN 40150 und ihre
Zusammenhange zeigt Bild 2-1. - Maximale elektrische Belastbarkeit (Span-
nung, Strom, Leistung, Kurven- bzw. Impuls-
form, Frequenzbereich),
A nI a ge
- Umgebungstemperatur
M4 Insbesondere bei Halbleiterbauelementen
Gerate unterscheidet man folgende Bereiche:
U • Industrieller Bereich (0°C bis +70'C),
r*^ Baugruppen • Erweiterter industrieller Bereich ( —25°C
bis +85°C),
T
Bauteile
• Militarischer Bereich (-55°C bis
+ 125°C);
zn
Bauelemente
- Temperaturkoeffizient,
- LangzeitstabiHtat der elektrischen Kenn-
werte (Alterung),
Bild 2-1. Begriffsbestimmungen fur Baueinheiten - Feuchtigkeit, Staub, Einstrahlung,
nach DIN 40150. - Maximale Beschleunigung und Schwin-
gungsfrequenz,
Wie Bild 2-1 zeigt, bestehen Bauteile aus einzel- - Qualitat,
nen Bauelementen und Baugruppen (z. B. ein - Lebensdauer,
Netzteil) aus mehreren Bauteilen und Bauele- - GroBe und Gewicht,
menten. Gerate (z. B. Oszilloskope) sind aus - Preis.
Baugruppen, Bauteilen und Bauelementen zu-
Welchen Einfliissen man ein Bauelement aus-
sammengesetzt. In Anlagen (z. B. ein Computer)
setzen darf, ohne daB sich seine elektrischen
befmden sich Gerate, Baugruppen, Bauteile und
Kenndaten in unzulassiger Weise andern (An-
Bauelemente.
derungsfall) oder gar ein Totalausfall eintritt,
Elektronische Bauelemente haben die Aufgabe, wird in DIN 40040 durch Anwendungsklassen
elektrische Signale zu erzeugen oder zu wan- beschrieben. Eine zu groBe Abweichung der
deln. Sie spielen auch in der Datentechnik eine elektrischen Kenndaten bezeichnet man als An-
wichtige Rolle. tjblicherweise werden sie in pas- derungsausfall. Die Anwendungsklassen wer-
sive und aktive Bauelemente eingeteilt (Bild 2-2). den durch Buchstaben gekennzeichnet und sind
Passive Bauelemente erhalten nur eine Signal- fur alle elektronischen Bauelemente gultig. Die
groBe, wobei meistens mit einer Spannung ein einzelnen Kennbuchstaben, von denen man in
entsprechender Strom erzeugt wird oder umge- der Regel nur die ersten drei nennt, haben fol-
kehrt. Aktive Bauelemente erhalten dagegen gende Bedeutung:
2 Passive Bauelemente

Bauelemente

pass IV aktiv

Widerstand R iKondensator c j | Spule L | [ Diode D | | Transistor T | | Thyristor | | Sonstige

Bild 2-2. Einteilung der Bauelemente.

Bezeichnung der klimatischen Anwendungs- Beispiel


klasse: 2.1-1: Bin Bauelement tragt die Kennzeichnung
1. Kennbuchstabe: Untere Grenztemperatur GPGQRWZZ. Fiir welche Anwendungen ist es geeig-
in°C. net?
2. Kennbuchstabe: Obere Grenztemperatur
Losung:
in °C.
3. Kennbuchstabe: Zulassige Feuchtebean- G Untere Grenztemperatur — 40°C.
spruchung P Obere Grenztemperatur +85°C.
G Zulassige Feuchtebeanspruchung:
Angaben zur Zuverldssigkeit: Hochstwert: 85%, jedoch nur 60 Tage im Jahr, im
4. Kennbuchstabe: Ausfallquotient (Anteil aus- iibrigen 75% Jahresmittel: ^ 6 5 % .
fallender Bauteile in einer Keine Betauung.
Q Ausfallquotient betragt 30 • 10"^ h~^
gegebenen Zeit) R Beanspruchungsdauer von 100000 h.
5. Kennbuchstabe: Beanspruchungsdauer W Mechanische Beanspruchung:
Schwingen: 10 Hz bis 55 Hz mit 20 m/s^;
Mechanische Anwendungsklasse:
Schock: 150 m/s^ fiir 11 ms.
6. Kennbuchstabe: Mechanische Beanspru- Z Luftdruck ist dem Datenblatt zu entnehmen.
chung Z Sonderbeanspruchung ist dem Datenblatt zu ent-
7. Kennbuchstabe: Luftdruck nehmen.
8. Kennbuchstabe: Khmatische Sonderbean-
spruchung (Wasser, Luft, 2.1.3 Zuverlassigkeit
Staub, Sandsturm, Schim-
mel, Termiten, Sonnenbe- Die Zuverlassigkeit ist ein MaBstab fur die Eig-
strahlung, Hoheneinsatz). nung eines Bauelementes, bei einer gegebenen
2.1 Elektronische Bauelemente

Belastung innerhalb eines bestimmten Zeit- - Ende der Lebensdauer. Hieriiber ist meistens
raums voraussichtlich fehlerfrei zu arbeiten. keine direkte Aussage m5ghch, da die Le-
Von elektronischen Geraten, beispielsweise in bensdauer vor allem von der elektrischen,
industriellen Fertigungsprozessen oder im me- mechanischen und klimatischen Belastung
dizinischen Bereich wird eine hohe Betriebszu- im Betrieb abhangt;
verlassigkeit erwartet. Ein Gerateausfall, sei es - Beschadigungen infolge zu hoher elektro-
durch Uberbelastung oder durch Erreichen der statischer Entladungen (ESD; engl.: Electro-
Lebensdauer, hat im Fertigungsbetrieb einen static Discharge). Bei alien modernen Halb-
Produktionsausfall oder -ausschuB zur Folge, leitern (auBer bipolaren Leistungstransisto-
was erhebliche Kosten verursacht; im medizini- ren) besteht die Gefahr, daB isolierende SiH-
schen Bereich konnen sogar Menschenleben ge- ciumschichten und Sperrschichten durch sta-
fahrdet sein. Aus diesem Grunde ist die Aus- tische Aufladungen beschadigt oder durch-
wahl der richtigen Bauelemente und ihre schlagen werden. Deshalb sind folgende Vor-
geeignete Beschaltung sehr wichtig. Die Erho- sichtsmaBnahmen erforderHch (s. auch Ab-
hung der Zuverlassigkeit eines Bauelementes schn. 3.5.7):
kostet aber ihren Preis (Bild 2-3). - Transport in antistatischer, d. h. hochoh-
mig leitender Verpackung.
- Lotkolben werden geerdet, und die Bedie-
nungsperson ist iiber ein hochohmiges
Armband geerdet.
- Arbeitsplatz mit antistatischem Belag, ge-
Herstellungskosten erdete Kupfernetze unter dem hochohmig
leitenden KunststoffuBbodenbelag, hoch-
ohmig geerdete Stuhle und Polster.
- Arbeitsschuhe mit leitfahiger Sohle.

Zuverlassigkeit 2.1.3.2 Mittlere Ausfallrate


Bild 2-3. Kosten in Abhdngigkeit von der Zuverlds- Als Ausfallrate X wird der Bruchteil von Ausfal-
sigkeit. len pro Zeiteinheit bezeichnet, bezogen auf die
Gesamtzahl der Bauelemente. Es gilt
Bild 2-3 zeigt, daB eine Erhohung der Zuverlas-
sigkeit zwar die Betriebskosten sinken laBt, Anzahl der Ausfalle n
aber die Herstellungskosten der Bauelemente X=-
sehr stark ansteigen. Deshalb nehmen zwar die Gesamtzahl der funktionsfahigen
Kosten mit zunehmender Zuverlassigkeit zu- Bauelemente N • Testzeit Ar
nachst ab, steigen aber mit hoheren Anforde- (2-1)
rungen iiberproportional an. Es wird zwischen
einem Anderungsausfall und einem Totalausfall Die Ausfallrate k bezieht man auf eine Stunde
unterschieden. (h~^). Die Ausfallrate X von einzelnen Bauele-
menten wird in fit (engl.: failure in time)
angegeben: lfit = 10~Vh. Ein Schichtwider-
2.1.3.1 Ursachen eines Ausfalls
stand besitzt beispielsweise eine Ausfallrate
AuBer den bereits bei der Herstellung entstan- A = 0,2 • 10"^ h" ^ entsprechend 0,2 fit. Das be-
denen Fehlern, die sich haufig erst im Laufe der deutet, der Widerstand fallt in einer Stunde mit
Zeit auswirken, kommen als Ausfallursachen in einer Wahrscheinlichkeit von 0,2-10"^ aus,
Frage: Oder anders betrachtet: Bei 1/(0,2-10"^) =
- Uberbeanspruchung des Bauelements beim 5-10^ Bauelementen ist durchschnittlich jede
Einbau (z. B. zu hohe Temperaturen beim Lo- Stunde mit einem Ausfall zu rechnen.
ten oder zu hohe mechanische Beanspru-
chung beim Abbiegen der Anschliisse); Friih- und VerschleiBausfalle
- Uberbelastung (elektrisch oder thermisch) Die Ausfallrate ist haufig innerhalb der Lebens-
wahrend des Betriebes; dauer nicht gleichbleibend. Bild 2-4 zeigt die
90 2 Passive Bauelemente

Zufallsausfalle
In diesem Bereich wird von einer konstanten
Ausfallrate ausgegangen. Die errechneten
Frijhausfalle VerschleiBausfalle
Werte sind die Grundlage fiir die Berechnung
K Zufallsausfalle
L
der Lebensdauer, auch Brauchbarkeitsdauer
genannt, und der Zuverlassigkeit von Bauele-
menten.
_L
Betriebszeit t
Verschleifiausfdlle
Bild 2-4. Ausfallrate im Verlauf der Einsatzzeit.
Gegen Ende der Betriebszeit nehmen die Ver-
schleiBausfalle (z. B. wegen undichter Gehause,
typische, sogenannte Badewannenkurve, d.h. Korrosion, Materialversprodung) zu. Ein recht-
die Ausfallrate ist bei Einsatzbeginn des Bauele- zeitiges Auswechseln von kritischen Bauele-
mentes hoch (Fruhausfalle) und steigt am Ende menten (Bauelementen mit niedrigem X) verhin-
der Lebensdauer (Verschleifiausfalle) an. In der dert diese VerschleiBausfalle.
Mitte sind die Zufallsausfalle gleichmaBig ver- Tabelle 2-1 zeigt die Ausfallrate einiger Bauele-
teilt. Die Zeit bis zum Beginn der VerschleiBaus- mente.
falle nennt man Brauchbarkeitsdauer.
Zu dieser Tabelle ist kritisch anzumerken, daB
sich die Ausfallraten fiir dieselben Bauteile bis
Fruhausfalle
zum Faktor 10^ unterscheiden konnen. Dies
Um Bauelemente mit Friihausfallen ausson- hangt vom Fertigungsverfahren, von der Ver-
dern zu konnen, sind die Eingangsprufungen zu arbeitung beim Zusammenbau, von den khma-
verscharfen oder Voralterungen vorzunehmen tischen Verhaltnissen und von den Einsatzbe-
(entweder vor dem Einbau oder in der fertigen dingungen (beispielsweise Flugzeug oder klima-
Schaltung). Eine Voralterung ist eine auch burn tisierter Raum) ab. Den strengsten MaBstab
in genannte Dauerprufung, die man in Form legt das amerikanische militarische Handbuch
von elektrischen und thermischen Belastungs- MIL-HDBK-217 E an, dessen Zuverlassigkeits-
zyklen beispielsw^eise iiber einen Zeitraum von werte aber heute in der Praxis groBtenteils
168 Stunden durchfiihrt. iibertroffen werden.

Tabelle 2-1. Ausfallraten einiger Bauelemente.

Bauelemente Bauelemente
10-^h-^ 10-^h-i

1. Widerstande 6. Integrierte Schaltkreise


Kohleschicht 0,1 digital, bipolar (MSI) 2
Draht 1 digital, MOS (MSI) 1
2. Kondensatoren 7. Sonstige Halbleiter
Keramik 0,06 Optokoppler 2
Al-Elektrolyt 5 Thyristor 0,5
3. Spulen 8. Verbindungen
HF-Spulen 0,3 Steckkontakt 0,1
Transformatoren 1 Klemmkontakt 0,3
Lotverbindung 0,05
4. Dioden
Si, normal 0,05 9. Sonstige Bauelemente
Si, Leistung 0,5 Glimmlampe 10^
LED 0,1 Gliihlampe 10^
5. Transistoren
Si, normal 0,06
FET 0,5
2.1 Elektronische Bauelemente 91

Gesamtausfallrate
Sind mehrere Bauelemente im Einsatz, dann
errechnet sich die Gesamtausfallrate A^^, als
Summe der einzelnen Ausfallraten. Es gilt

^ges — >^i + >^2 + ^3 + • • • + ^n • (2-2)

2.1.3.3 Durchschnittliche Lebensdauer


Bei der Berechnung der durchschnittlichen Le-
bensdauer t^ geht man von einer konstanten
Ausfallrate aus. Als durchschnittliche Lebens-
dauer t^ benennt man die Zeit, die vergeht, bis Qualitatsgrad
6 3 % aller Bauelemente ausgefallen sind. Mit Bild 2-5. Kosten in Abhdngigkeit vom Qualitatsgrad.
der Ausfallrate A besteht folgender Zusammen-
hang: die Qualitatssicherung ansteigen.
Mit den Verfahren der statistischen Qualitatssi-
cherung ist es moglich, einen Quahtatsstandard
^ = lAm- (2-3)
zu garantieren sowie die Kosten fiir die Fehler-
verhiitung in der Herstellung zu verringern und
In der Regel wird der mittlere Ausfallabstand die Priifhaufigkeiten den tatsachlichen Erfor-
(MTBF: Mean Time Between Failure) eines Ce- dernissen anzupassen. Die statistischen Verfah-
rates berechnet. Fur n Bauelemente der gleichen
ren und die verwendeten Daten sind in D I N
Ausfallrate betragt er
40080 nachzulesen.
f„=l/(nl). (2-4) Die statistischen Grundlagen sowie die Priifbe-
dingungen stellt man haufig als Operations-
Char akteristik dar. Sie ist die Annahmewahr-
Beispiel scheinlichkeit der Liefermengen in Abhangig-
keit vom Fehlerprozentsatz und ist in Bild 2-6
2.1-2: Ein Kofferradio besteht aus n = 120 Bauele- wiedergegeben.
menten mit der gleichen Ausfallrate von 2000 fit
(/l = 2000-10~^h~^). Wie lange funktioniert das Die Kennlinie zeigt, mit welcher Wahrschein-
Radio, wenn es taghch 3 Stunden in Betrieb ist? lichkeit eine Liefermenge mit einem bestimmten

Losung:
Der mittlere Ausfallabstand ist nach Gl. (2-4):
t^ = l/(nX) = 1/(120 • 2 • 10-^ h~') = 4167 h. -^^^Herstellgrenzqualitat
Bei einer taghchen Betriebsdauer von 3 h ist der AQL
mittlere Ausfallabstand 4167/3 = 1389 Tage oder
3,8 Jahre.
Hinweis: Da Bauelemente auch altern, ohne daB diese
ihre Funktion erfiillen (z. B. Lagerung), sind bei ge-
naueren Berechnungen die dann gultigen aber we-
sentlich kleineren A-Werte zu beriicksichtigen.

2.1.3.4 Herstellgrenzqualitat
Eine der wichtigsten Voraussetzungen fiir den
sicheren Einsatz und die Stabilitat der Kenn- 0,2 0,4 0,6 0,8 1,0
werte ist die Qualitat der Bauelemente. Wie Fehleranteil in der Liefermenge / %
Bild 2-5 zeigt, sinken mit steigender Qualitat die Bild 2-6. Annahmewahrscheinlichkeit in Abhdngig-
Ausfallkosten, wahrend die Fehlerverhiitungs- keit vom Fehleranteil in der Liefermenge (Operations-
kosten der Produktion und die Priifkosten fiir Charakteristik).
92 2 Passive Bauelemente

prozentualen Fehleranteil angenommen wird. In Bild 2-7 ist der Verlauf der Werte der ersten
Die Herstellgrenzqualitdt oder AQL (Accep- drei Reihen grafisch dargestellt.
tance Quality Level: annehmbare Qualitats-
grenzlage) ist der maximale Fehlerprozentsatz
(in Bild 2-6 bei 0,3%), den man bei einer Stich-
probenpriifung als befriedigende durchschnitt-
liche Herstellerqualitat ansehen kann. In der
Regel wird sie im Kaufvertrag zwischen Abneh-
mer und Hersteller festgelegt. Im Indifferenz-
punkt (in Bild 2-6 bei einer Fehlerrate von
0,6%) ist die Annahmewahrscheinlichkeit 50%,
d. h. die Annahme und die Ablehnung ist gleich
wahrscheinlich. Die Riickweisgrenzqualitdt (in
Bild 2-6 bei 1 % Fehleranteil) sagt aus, daB bei
einem so hohen Fehleranteil die Annahme- 3. 4.
Anzahl Bauelemente
wahrscheinlichkeit ledigHch bei 10% liegt.
Die genauen Prufplane unterscheiden sich noch Bild 2-7. Zahlenreihe E 6.
in der Prufschdrfe (I: reduziert, II: normal und
III: verscharft, sowie Sonderpriifungen SI bis Zu den Bauelemente-Toleranzen ist zu bemer-
S4 fiir kleine Lose). Sie sind in DIN 40080 ken, daB beispielsweise bei der E12-Reihe die
nachzulesen. Werte um den Faktor 1,2 voneinander abwei-
chen. Das entspricht einer Toleranz von ± 10%.
Eine groBere Toleranz fur diese Reihe zuzulas-
2.1.4 Normreihen sen ware sinnlos, weil dann der Wert bereits im
Bereich der E 6-Reihe liegen wiirde. Das bedeu-
Die Nennwerte kauflicher Widerstande und
tet, daB die Reihen die groBtmogHche Toleranz
Kondensatoren sind nach DIN 41426 in Norm-
vorgeben (z. B. fur die Reihe E24 eine Toleranz
reihen abgestuft, um eine wirtschaftliche Ferti-
von ±5%). Bei Metallschicht- und Metallgla-
gung und Lagerhaltung zu ermoghchen. Die
surwiderstanden ist die E96-Reihe iibhch. Um
Normreihe hat den Kennbuchstaben E und ist
die Lagerhaltung zu vereinfachen, soUte man
eine geometrische Reihe, d.h. aufeinanderfol-
Widerstande mit Drahtanschliissen mit E24-
gende Werte unterscheiden sich immer um den-
Stufungen, aber mit E96-Werten wahlen. Bei
selben Faktor q. Damit gilt fur die Reihe die
Chipwiderstanden ist sogar die E 6-Stufung mit
Gleichung y = q"", wobei y der Nennwert ist und
Werten aus der E 96-Reihe zu bevorzugen. Hier-
X in ganzen Schritten von 0 ab lauft. Der Faktor
durch kann man die Anzahl der benotigten Ma-
q errechnet sich zu y ^ , wobei E die Nummer
gazine fiir einen Bestiickungsautomaten ein-
der Baureihe ist. In Tabelle 2-2 ist die Zahlen-
schranken.
reihe E6 berechnet. Der Faktor q betragt hier
q=^ = 1,467..., d. h. etwa 1,5.
2.1.5 Klassifikation von diskreten
Tabelle 2-2. Zahlenreihe E 6.
Halbleiter-Bauelementen
E6_ Um Bauelemente mit den gewiinschten Kenn-
I0O/6 101/6 102/6 103/6 10^/6 105/6
^10" werten verwenden zu konnen, sind diese von
= 10"/^ der in Brussel ansassigen Organisation PRO
ELECTRON klassifiziert worden. Diese Klas-
Wert 1 1,5 2,2 3,3 4,7 6,8
sifikation ist ein Schlussel mit drei Feldern (Bild
2-8), bestehend aus zwei Buchstaben (erster
Von Reihe zu Reihe werden die Werte verdop- Buchstabe: Material, zweiter Buchstabe: Funk-
pelt, d.h. es gibt iiblicherweise die Reihen E3, tion) und einem Kennzeichen (entweder drei-
E6, E12, E24, E48 und E92. Diese Verdoppe- steUige Zahl fiir Konsumelektronik oder ein
lung hat zur Folge, daB die Werte der vorherge- Buchstabe und eine zweisteUige Zahl fiir die
henden Reihe in der folgenden enthalten sind. Industrieelektronik). (Zu den Abkurzungen und
Dies zeigt Tabelle 2-3. ihren Bedeutungen s. Abschn. 3.1.6).
2.1 Elektronische Bauelemente 93

Tabelle 2-3. Werte der Normreihen E 6,E12, E24, E48,E96 und E192 (Ausschnitt).
E6 E12 E24 E48 E96 E192 E6 E12 E24 E48 E96 E192 1
±20% ±10% ±5% ±2% ±1% ±0,5% 1 ±20% ±10% ±5% ±2% ±1% ±0,5% 1
100 100 100 100 100 100 1 178 178 178 1
101
180 180 180
102 102
104 182 182
184
105 105 105
106 187 187 187
189
107 107
109 191 191
193
110 110 110 110
111 196 196 196
113 113 198
114 200 200 200
115 115 115 203
117 205 205 205
118 118 208
120 120 120 210 210
213
121 121 121
123 215 215 215
124 124 220 220 220 218
126
221 221
127 127 127
223
129
130 130 130 226 226 226
132 229

133 133 133 232 232


135 234

137 137 237 237 237


138 240 240
140 140 140
142
243 243
246
143 143
145 249 249 249
252
147 147 147
149 255 255
258
150 150 150 150 150
152 261 261 261
264
154 154 154
156 270 270 267 267
271
158 158
160 160 274 274 274
277
162 162 162
164 280 280
284
165 165
167 287 287 287
291
169 169 169
172 294 294
298
174 174
176 300 301 301 301
94 2 Passive Bauelemente

unverbindlich und konnen sich von Los zu Los


j Material Funktion Kennzeichen
unterscheiden. Fur die Entwicklung von Schal-
tungen sind die minimalen und die maximalen
Werte zu berucksichtigen.
B C Y61
Siliciumtransistor (Industrie-Elektronik)
6. Garantiewerte
Bild2-8. Klassifikation von Halbleiterbauelementen.
Je nach Hersteller, Bauelement und Anwen-
dung werden Maximal- und Minimalwerte
2.1.6 Datenblatter (oder nur einer) garantiert. In Ausnahmefalien
Datenblatter geben Auskunft iiber die mechani- kann der Kunde manche Bauelemente mit ge-
schen und elektrischen Eigenschaften des Bau- wunschten Kennwerten bestellen.
elementes. Die Gliederung, der Inhalt und die
verwendeten Kurzzeichen sind in DIN 41785 7. Grenzwerte
und DIN 41791 festgelegt. Das Datenblatt ent- Die Grenzwerte sind Absolutwerte, die nicht
halt: iiberschritten werden diirfen. Es handelt sich
1. Kurzbeschreibung des Bauelementes hierbei meist um Strom-, Spannungs-, Lei-
stungs- und Temperaturwerte sowie um mecha-
Hier stehen die Typenbezeichnung, der Herstel- nische Kenndaten. Im HinbUck auf eine gute
ler, die Technologie und der Anwendungsbe- Zuverlassigkeit ist es immer zweckmaBig, die
reich. angegebenen Grenzwerte (engl.: absolute maxi-
mum rating) zu unterschreiten. Man spricht
2. Gehdusedaten dann von einem Derating. Wird beispielsweise
Man erkennt die Werkstoffe, die Kennummer eine 100 V-Diode nur mit einer Sperrspannung
der Anschliisse und bestimmte Markierungen von WR = 8 0 V betrieben, so ist der Derating-
(z. B. fur die Polung und die Lage von Pin Faktor d = 0,8.
Nummer 1).

3. Mechanische Daten
Dazu gehoren die Abmessungen mit Toleran-
2.2 Widerstande
zen, die Montagebedingungen (z. B. maximale Widerstande sind sehr haufig eingesetzte Bau-
Lottemperatur, -verfahren und -zeit, kleinster elemente mit der Eigenschaft, den elektrischen
Abstand vom Gehause fur das Abbiegen der Strom zu begrenzen. Das bedeutet: Ein Wider-
AnschluBdrahte, kleinste Lange der AnschluB- stand erzeugt bei einer vorhandenen Spannung
drahte). den gewiinschten Strom oder liefert bei einem
gegebenen Strom die gewunschte Spannung.
4. Nennwerte Am Widerstand wird elektrische Energie in
Die Nennwerte beschreiben entweder als Zah- Warme umgesetzt. Deshalb muB fur den Ein-
lenwerte oder als Kennlinien die Eigenschaften satz der Widerstande die Abhangigkeit seiner
oder die Funktion eines Bauelementes. Sie gel- elektrischen KenngroBen von der Temperatur
ten ab Hersteller unter Beachtung der MeBbe- bekannt sein.
dingungen (z. B. Temperatur und Frequenz). Die Werte fixr den Widerstand umfassen einen
Die Toleranz ist entweder als prozentuale Ab- sehr groBen Bereich von mQ bis zu GQ. Um
weichung oder als Grenzkurve einer Kennlinie diese Werte zu reahsieren, muB man geeignete
angegeben. Wahrend die dynamischen Nenn- Materialien verwenden und entsprechende
werte das zeitliche Verhalten der einzelnen Geometrien auswahlen. Dabei spielen folgende
GroBen beschreiben, beriicksichtigen die stati- Kennwerte eine wichtige Rolle: der Wider-
schen Nennwerte diese nicht. standswert, die Toleranz, die Belastbarkeit, der
Temperaturkoeffizient, die GroBe (moglichst
5. Typische Werte klein) und die Kosten. In den folgenden Ab-
Insbesondere bei Halbleiterbauelementen wer- schnitten werden diese KenngroBen ausfiihr-
den typische Werte angegeben. Sie sind volHg licher beschrieben.
2.2 Widerstande 95

2.2.1 Ubersicht fiber die Widerstande oder leitende Schichten (Kohleschichten bzw.
Metallschichten) Verwendung.
Bild 2-9 zeigt eine Obersicht iiber die Wider-
stande.
Farbkodierung
Aus Bild 2-9 ist zu erkennen, daB Widerstande
in Festwiderstdnde eingeteilt werden, die einen Der Nennwert eines Widerstandes ist meistens
festen Widerstandswert liefern und in einstell- durch Farbringe verschlusselt. Dies hat den
bare Widerstande, deren Widerstandswerte ver- Vorteil, daB der Wert im eingebauten Zustand
stellbar sind. Die Festwiderstande konnen ein unabhangig von der Lage zu lesen ist. In Tabelle
lineares Strom-Spannungs-Verhalten nach dem 2-4 ist der Internationale Farbkode zusammen-
Ohmschen Gesetz {R = I///) aufweisen oder gestellt.
nicht. Bei den nicht linearen Widerstanden Bei Widerstanden mit engen Toleranzen (z. B.
hangt der Widerstand von einer physikalischen bei Metallschichtwiderstanden) werden fiir den
GroBe ab, beispielsweise von der Temperatur Vorzugswert drei Stellen benotigt. Der Farb-
(HeiBleiter NTC und Kaltleiter PTC), von der kode besitzt dann funf Farbringe. Manche Her-
Spannung (Varistor VDR) oder vom Magnet- steller geben durch einen 6. Ring den Tempera-
feld (Feldplatte). Die Lichtabhangigkeit der turkoeffizienten des Widerstandes an. Dabei be-
Widerstande (Fotowiderstande LDR) wird im deuten die Farben schwarz bis grau abnehmende
Kapitel Optoelektronik (Abschn. 6) beschrie- Temperaturkoeffizienten von 250 • 10 ~ ^ K ~ ^
ben. Die einstellbaren Widerstande kann man bis I - I Q - ^ K - ^
in der Kegel entlang einer Geraden oder einer
positiv oder negativ logarithmischen Kurve Beispiel
verandern. 2.2-1: Ein linearer Festwiderstand tragt folgende
Farbringe: blau, grau, braun, orange, griin. Welchen
2.2.2 Lineare Festwiderstande Widerstandswert besitzt er und in welche Toleranz-
klasse (und Baureihe) ist er einzuordnen?
Ein linearer Festwiderstand besitzt einen festen, Hinweis: Werden die Farbringe in verkehrter Reihen-
d. h. nicht veranderbaren linearen Widerstand. folge (z. B. griin, orange, braun usw.) entschlusselt,
Als Werkstoffe finden, wie Bild 2-9 zeigt, Drahte dann ergeben diese keinen E-Wert (s. Abschn. 2.1.4).

Tabelle 2-4. Internationaler Farbkode nach DIN JEC62 und JEC 115-1-4.5.

f^F
\ r
1 gn

1
\
• 1 1
Kennfarbe Widerstandswert in Q Toleranz lemperatur-
koeffizient
zahlende Ziffern Multiplikator

silber _ 10-2 + 10% _


gold - 10-^ ± 5% -
schwarz 0 1 - + 200-10-VK
braun 1 10 + 1% ±100 10"VK
rot 2 10' + 2% ± 50 1 0 - V K
orange 3 10^ - ± 15-10-VK
gelb 4 10^ - ± 25-10-VK
1 griin 5 10^ ± 0,5% -
blau 6 10^ + 0,25% ± lO-lO'VK
violett 7 10' ± 0,1% ± 5 • lO-^K
grau 8 - - ± 1 • IO'VK
weiB 9
96 2 Passive Bauelemente

Losung:
Der Widerstandswert ist: i? = 681kQ, Toleranz
±0,5% (Baureihe E96).

Belastbarkeit bei Dauerbetrieb


lineares Stromspannungs-
Die Belastbarkeit P^^x ist die wahrend der Be- verhalten R = U/l (nur
wenig temperaturabhangig)
triebszeit maximal umgesetzte Leistung und er-
rechnet sich wie folgt:
Kohle- Metall- Edelmetall- Metall-
schicht schicht schicht glasur

Cr-Ni Cr-Ni
wobei ^0 die Temperatur an der Oberflache des Cu-Ni Sn02
Cu-Mn
Widerstandes und S^ die Umgebungstempera-
0,1 n b i s 1 fibis 1 fibis 1 fibis
tur ist und Rt^A ^^^ Warmewiderstand in K/W 300 k n 22 M n 22 M n 50Gn

(Kelvin pro Watt). Aus Gl. (2-5) ist ersichtlich, : (0,01% bis : (0,1% bis : (0,1% bis : (0,1% bis
10%) 2%) 2%) 5%)
daB die Belastbarkeit um so groBer ist, je groBer Temperatur- - (200 bis + (200 bis : (25 bis
die Temperaturdifferenz ^o~^a (bei gleichem koefifizient 1200) 350) 200)
10-6K-1
Warmewiderstand i^thA) o^^r j ^ kleiner der zulassige -55°Cbis -55°Cbis -65°Cbis -65°Cbis -65°Cbis
Warmewiderstand R^^^^ ist (bei konstanter Temperatur +450°C + 155°C + 175°C + 175°C + 155°C
°C
Temperaturdifferenz SQ — SJ. In der DIN-Norm maximale 0,25 W bis 0,25Wbis 0,25 W bis 0,7 W bis 0,12Wbis
44051 ist festgelegt, daB die Nennbelastbarkeit Belastbarkeit 100W 1W 2W 6W 0,25 W
P7n
eines Widerstandes fur eine Umgebungstempe-
Stabilitat : (0,1% bis :(r/obis t (0,1% bis : (0,1% bis
ratur von 9^ = 70 °C anzugeben ist. Mit dieser (i?,= 7 0 ° C ; 5%) 10%) 1%) 5%)
100000 f)
Leistung P-JQ darf der Widerstand dauernd be-
DIN 44185 DIN 44051 DIN 44061 DIN 41428
trieben werden. DIN 44196 DIN 44052 DIN 44063 DIN 44064
DIN 44197 DIN 44053 DIN 45921
DIN 44055

Bemerkungen niedrige Universal- haufigster niedrige vielseitiges


Impulsbelastbarkeit Widerstande, Widerstand, Typ; Widerstande; SMD-
hohe preiswert preiswert sehr feuchte- Bauelement
bestandig
Widerstandswerkstoffe sind in der Lage, kurz- Leistungen
Anwendungs- Nachrichten-, Unter- Unter- Temperatur- Dick-
zeitig wesentlich hohere Leistungen aufnehmen bereiche MeR- und haltungs- haltungs- kompen- schicht-
zu konnen als im Dauerbetrieb. Dabei bestehen Starkstrom-
technik;
elektronik elektronik;
Industrie-
sation,
Sicherheits-
technik

folgende Unterschiede: Regel-


widerstande
elektronik,
Datenver-
widerstande

arbeitung,
- Periodische Pulsfolge Raumfahrt

Hierbei konnen Pulsfolgen mit Spitzenspan- Beispiel "3^


nungen bis zum 3,5fachen der maximalen Be-
triebsspannung noch zulassig sein und eine m
Spitzenlast bis zur 6fachen zulassigen Belast-
barkeit (Einzelheiten sind in den jeweiligen
DIN-Normen fiir die Widerstande festgelegt, Kappe Wendelung
Bild 2-9).
- Vereinzelte Impulse sehr hoher Spitzenleistung
Die Beanspruchungen mit sehr energiereichen Bild 2-9. Einteilung der Widerstande.
Einzelimpulsen sind nicht genormt, sondern
sind den jeweiligen Datenblattern der Herstel-
ler zu entnehmen. Bild 2-10 zeigt die maximale Impulsspannung
Die Impulsbelastbarkeit hangt prinzipiell sehr in Abhangigkeit vom Widerstandswert. Die
stark von der Impulsform und von der Impuls- Kurve beschreibt eine Widerstandsanderung
breite ab. Ai^/i^<0,25%.
2.2 Widerstande 97

Festwiderstande einstellbare Widerstande

1 1
linear positiv negativ
logarith- logarith-
Widerstand ist abhangig von misch misch
einer physikalischen GroBe
(z.B. Temperatur, Spannung)

NTD-Si
Negative Neutron Positive Voltage Feldplatte
Temperature Transmutated Temperature Dependent
Coefficient Doped Coefficient Resistance
Silizium
Si-Widerstand
-7^—1

Mischoxid- n-dotiertes Titanat- SiC Werkstoffe Draht 1


l<eramik Silizium keramik ZnO
Fe304mit ZnjTiO^ BaTiOj Kohleschicht
Fe304mit MgCr04 SrTiOg DIN 41450
NiO; C.O Cermet
(IVIetalloxid-und Glaspulver
Gleichungen 1
R = fto- R= -U'-a in Keramiktrager eingebrannt)]
f^j-
K Anwendungs- Spannungs- Lautstarke- 1
/?, ( 1 + a A i ? + K : Konstante bereiche teiler regler
+ MAd)2) ln(^2/^i)
(Potentio-
B: Material- a : Nicht- meter,
R^: Widerstand linearitats- Trimmer)

w\
konstante K : Konstante
bei i5 = 25°C exponent
2920 bis 3950 K
T^ : untere
R^: Nenn- a : 0,773-10-2 K-
Temperatur
Widerstand ^ : 1,83-10-5K-I
bei T^ T j : obere
Temperatur
\
(Nenn-Temp.) Draht
zulassige
Temperatur
-55 bis 350 1
in°C
DIN 44070 DIN 44080
f¥f Cermet

DIN 44071 DIN 44081

f
DIN 44072 DIN 44082
DIN 44073

Anwendungs- Temperatur- Temperatur- Temperatur- Spannungs- kontaktioses


bereiche fiJhler, fijhler, fiihler. stabilisierung, Potentiometer c ^ e
Stromungs- Temperatur- Thermostat, StoRspannungs- Drehzahl-,
geschwindigkeit, messer, Strom- begrenzung, Geschwindig-
Schutz- und Temperatur- stabilisierung Uberspannungs- keitsmessung,
Kompensations- kompensation schutz Transistor-
aufgaben ansteuerung SchleiferjUW
AnschluR aus
Edelstahl
Oxidschicht Maanderstreifen S^g^^w^ ^
Beispiel
Metallisierung . \1
+-Gebiet \-n-S\
M \, ^
windungen ' ' ^ U ^ ^
Schleifschiene
ZnO i^cu-
Elektrode Drahtl ^iSedammtesGe

Maximale Dauerspannung U^^^ Sie hangt deshalb in hohem MaBe von der Bau-
groBe ab. Bei kleineren Widerstandsgeometrien
Die maximale Dauerspannung ist durch die (z. B. BaugroBe 0204 und 0207) liegen die Werte
Spannungsfestigkeit (Uberschlage zwischen den zwischen 200 V und 350 V, bei groBeren Baufor-
Anschliissen oder Teilen der Wendel) bestimmt. men (z.B. Typ 0411 und 0617) bei 500V bis
98 2 Passive Bauelemente

Die Temperaturanderung A,9, die zur Wider-


standsanderung AR/R fiihrt, ergibt sich aus der
^ 3 Anderung der Umgebungstemperatur S^ und
der Temperaturerhohung aus der Belastung S^,
wie sie durch den Warmewiderstand bestimmt
hy^
wird (s. Gl. (2-5)). IJblich ist die Angabe des
q^ AR/R< 0,25% Temperaturkoeffizienten T^R in ppm/K (ppm:
E 1 parts per million = 10"^). Bei den meisten
2^ -^ Typen von Metallschichtwiderstanden wird ein
102 103 10^ 10^ Temperaturkoeffizient von T^R = ± 50 ppm/K
Widerstand /? / fi erreicht.
Bild2-10. Impulsbelastbarkeit von kappenlosen Wi-
derstdnden. Beispiel
2.2-2: Ein Nennwiderstand R^ wird bei einer Umge-
750 V. Bei speziellen Hochspannungswiderstan- bungstemperatur von 25 °C gemessen. Es ist der War-
den sind wesentlich hohere Spannungen zu- mewiderstand i^th = 150 K/W, der Temperaturkoeffi-
lassig. zient a = ±100-10"^K"\ die im Widerstand
umgesetzte Leistung P = 0,2 W Gesucht ist die maxi-
male, relative Widerstandsanderung fiir eine Umge-
Kritischer Widerstandswert /J^rit bungstemperatur ^u = 70 °C.
Der kritische Widerstandswert i^^^it i^t ein be-
stimmter, im Datenblatt angegebener Wert, der Losung:
sich aus der maximal zulassigen Dauerspan- Nach Gl, (2-7) ist: Ai^/i^ = aA^, wobei A^ =
nung l/^ax und der Nennbelastbarkeit P70 nach ^v + PR.^ (Gl. (2-5)). Damit ist: AR/R =
folgender Gleichung errechnet: 100 10 ^K-^ (45°C + 0,2 W • 150 K/W) = 0,75%.

R. ^ max 1^10 • (2-6) Stabilitat


Mit Stabihtat bezeichnet man die relative
1st der Nennwiderstandswert R^ > i^^rit' ^^1^^
Widerstandsanderung AR/R, die durch elektri-
wird die zulassige Spannung durch l/^^x t>e-
sche Belastung oder andere Einfliisse in einem
stimm^, im anderen Fall durch die Verlustlei-
bestimmten Zeitraum verursacht wird. Der
stung nach Gl. (2-6).
Wert hangt im wesentlichen von den verwende-
Temperaturabhangigkeit ten Widerstandsmaterialien, der Oberflachen-
temperatur, dem Widerstandswert und von
Die Temperaturabhangigkeit eines Widerstan- Umgebungseinfliissen (z.B. Klima, Feuchtig-
des wird durch den Temperaturkoeffizienten a keit oder starke Temperaturwechsel) ab. Bei
beschrieben (auch T^R genannt), der definiert ist sonst gleichen Bedingungen sind niederohmige
als Widerstandsanderung AR/R pro Tempera- Widerstande stabiler, da die Schichtdicke
turdifferenz A^. Seine Einheit ist deshalb 1/K. groBer ist.
Somit gilt Die Messung der Stabilitat erfolgt mit speziel-
len Tests, wie durch schnelle Temperaturwech-
a = T^^ = AR/{RAS). (2-7)
sel, Lagerung bei hoher und niedriger Tempera-
tur und Unterdruck, Feuchte, Langzeitpriifung
Es ist darauf hinzuweisen, daB der Temperatur- und Uberlast. AuBer in der PrazisionsmeBtech-
koeffizient sowohl positiv (z. B. bei reinen Me- nik, deren Abhandlung den Umfang dieses Bu-
tallen) als auch negativ (z.B. bei Kohle) sein ches iibersteigen wiirde, priift man nur maxi-
kann (Angabe im Datenblatt mit +—). Bei male Abweichungen.
Widerstandswerkstoffen aus Metallegierungen
(z. B. Drahtwiderstanden oder Metallschicht-
widerstanden) wird durch entsprechende Legie- Rauschen
rungszusammensetzung versucht, einen mog- Das Wort „Rauschen" ist aus der Akustik ent-
lichst kleinen Temperaturkoeffizienten a zu er- liehen und bezeichnet in der Elektrotechnik ein
reichen. Signal, das alle Frequenzen innerhalb einer
2.2 Widerstande 99

groBen Bandbreite enthalt. Es ist auf dem Oszil- einer vorhandenen Wendelung C^. Bild 2-11
loskop als breitbandiges, nichtperiodisches zeigt das Ersatzschaltbild.
Signal zu erkennen. Folgende Arten von Rau- Wegen der hohen Selbstinduktivitat L^, und
schen eines Widerstandes sind zu unterschei- den Kapazitaten der Wendelung C^ sind
den: Drahtwiderstande in der Regel fiir hochfre-
- Thermisches Rauschen quente Anwendungen nicht geeignet.
Das thermische Rauschen wird durch Gitter-
schwingungen im Werkstoff verursacht, welche
die Ladungstrager beim Weg durch das Mate-
rial in unregelmaBigen Abstanden storen. Das
thermische Rauschen nimmt mit der Tempera-
tur zu. Nach Nyquist (NYQUIST, 1889 bis 1943)
gilt fiir die thermische Rauschleistung P^\
Bild 2-11. Ersatzschaltbild eines Widerstandes bei
hohen Frequenzen.
P^ = 4kTdf, (2-8)
Bei ungewendelten (s. Abschn. 2.2.2.2) Schicht-
wobei k die Boltzmann-Konstante {k = 1,380658 widerstanden, das sind meistens solche mit
• 10~ ^^ J/K), T die absolute Temperatur und d/ niedrigen Widerstandwerten, weicht der Schein-
die Bandbreite der MeBeinrichtung ist. Wegen widerstand bis etwa 100 MHz nicht wesenthch
der Beziehung U = y/PR gilt fiir die thermische vom ohmschen Wert ab. Je nach Widerstands-
Rauschspannung U^: wert und Frequenzbereich ist der Scheinwider-
stand reell, induktiv, kapazitiv oder induktiv
U^ = J4kTdfR. (2-9) und kapazitiv. Bild 2-12 zeigt den Scheinwider-
standsverlauf fiir Schichtwiderstande.
- Stromrauschen
2.2.2.1 Drahtwiderstande
Wenn die Ladungstrager (meist Elektronen)
durch Widerstandsschichten wandern, deren Die wichtigsten Werkstoffe, technischen Werte
Material nicht homogen ist, entsteht Stromrau- und Anwendungsfelder sind in Bild 2-9 zusam-
schen. Drahtwiderstande weisen praktisch mengestellt. Bei den Drahtwiderstanden ist der
keine Stromrauschspannung auf, wahrend die Widerstandsdraht auf einen Keramik- oder
Stromrauschspannungen bei Kohleschichtwider- Glasfiberkorper gewickelt. Als Widerstands-
standen hoch sind. draht verwendet man folgende Legierungen:
Die gesamte Rauschspannung eines Widerstan- Cu-Ni (Konstantan), Cu-Ni-Mn (Manganin),
des setzt sich aus dem thermischen Rauschen Ni-Cr (Nickehn) und Au-Cr. Die Wicklungen
und aus dem Stromrauschen zusammen. und die Anschliisse (Kappen oder Schellen)
sind kontaktsicher verschweiBt. Zum SchluB
Nichtlinearitat iiberzieht man die Widerstande zum Schutz ge-
gen Umwelteinfliisse iiblicherweise mit einer
Bei einem Hnearen Widerstand wird erwartet, Lackschicht. Aber auch andere Oberflachenbe-
daB nach dem Ohmschen Gesetz die Spannung handlungen sind mogHch. Fiir hohe Oberfla-
proportional zum Strom ist (1/ = RI). Bei sehr chentemperaturen (bis 450 °C) glasiert oder
genauer Betrachtung ist der Widerstandswert zementiert man die Widerstande und umhiillt
jedoch spannungsabhangig. Dieses ist im allge- sie fiir hohe Isolations-Spannungsfestigkeiten
meinen jedoch zu vernachlassigen. (bis 2 kV) mit Keramik. Kleine hochbelastbare
Widerstande umgibt man mit einem Metallge-
Hochfrequenzverhalten hause und montiert sie auf Kiihlkorper.
Je nach Aufbau der Widerstande und Einbau in Die wichtigsten Vorteile von Drahtwiderstan-
die Schaltung besitzt ein Widerstand RQ fiir den sind: hohe Belastbarkeit (0,25 W bis
hochfrequente Anwendungen eine Selbstindukti- 200 W), groBer Temperaturbereich ( —55°C bis
vitdt Ls, eine Kapazitat zwischen den An- 450 °C) und geringer Temperaturkoeffizient
schluBkappen C^ und eine Teilkapazitat bei (a = ±10"^K"^ bis ± 200 • 10"^ K"^). Nach-
100 2 Passive Bauelemente

derstandsschicht durch den thermischen Zerfall


von Kohlenwasserstoffen bei hohen Tempera-
turen (900 °C bis 1100°C). Die Schichtdicken
' L R0
hegen dabei im nm- (hohe Widerstandswerte)
J
Oder im |im-Bereich (niedrige Widerstands-
werte). Kohleschichtwiderstande haben einen ne-
/ gativen Temperaturkoeffizienten —1000 • 1 0 " ^
/
/ K < a < - 2 0 0 10"VK.
Die Metallschicht laBt sich entv^eder galvanisch
10 100 1000 10000 abscheiden (dickere Schichten fiir niedrige Wi-
derstandsv^erte) oder im Vakuum aufdampfen
b)
(Sputterverfahren; auch fur diinnere Schichten,
/ d. h. fur hohe Widerstandswerte; zum Verfahren

J./
/ s. Abschn. 1.9.5). Eine Edelmetallschicht (z.B.
/
Au-Pt) entsteht durch Reduktion von Edel-
metallsalzen beim Einbrennen.
Da die aufgebrachten Widerstandsschichten
hochstens bis 10% genau sein konnen, erfolgt
der genaue Widerstandsabgleich durch Wende-
lung. Dabei schleift man mit geeigneten Schleif-
10 100 1000 10000 scheiben oder durch Laserstrahl eine Wende-
f/MHz lung ein und bricht den Vorgang ab, wenn der
genaue Widerstandswert erreicht ist.
Metallschichtwiderstande sind die am haufig-
1 «o sten eingesetzten Widerstande. Die entspre-
chenden Daten sind in Bild 2-9 zusammenge-
lie stellt.

2.2.2.3 Metallglasurwiderstande
Dieser Widerstandstyp heiBt auch Dickschicht-
oder Cermetwiderstand. Die Widerstands-
10 100 1000 10000 schicht besteht aus Glasurpaste mit eingelager-
f/MHz ten Metallteilen (Cermet) und wird in Dick-
schichttechnik (Abschn. 1.8.4) beispielsweise als
Bild2-12. Verlauf des Scheinwiderstandes Z fur maanderformiges Muster auf ein Keramik-
Schichtwiderstdnde; substrat aufgebracht, getrocknet und bei etwa
a) R<WOQ, b) 100Q<R<1000Q, 1150°C eingebrannt. Als leitende Materialien
c) R>1000Q. dienen am haufigsten Tantal, Tantalkarbid,
Titan und Titankarbid und Wolfram. Den ge-
teilig wirken sich neben den hohen Preisen vor nauen Widerstandswert stellt man auch hier
allem die hohen Selbstinduktivitaten der durch Wendelung ein. Metallglasurwiderstande
Drahtwicklungen aus, so daB ein Einsatz dieser sind auch in Chipform zur SMD-Bestuckung
Widerstande im Hochfrequenzbereich nicht in im Handel. Ihren Widerstandswert gleicht man
Frage kommt. In der Praxis finden Drahtwider- durch Einschnitte, die quer zur Widerstands-
stande bis zu einem Wert von R < 200 k^ Ver- bahn verlaufen, mit einem Laserstrahl ab. Bild
wendung. 2-13 zeigt Chip widerstande unterschiedlicher
GroBe, die der Anwender selbst abgleichen
2.2.2.2 Schichtwiderstande kann.
Schichtv^iderstande (s. Bild 2-9) bestehen aus
Kohle- Oder Metallschichten (Cr-Ni), die auf 2.2.3 Nichtlineare Widerstande
Keramikkorpern aufgebracht sind. Wie Bild 2-9 zeigt, sind die nichtlinearen Wider-
Bei KohleschichtwiderStdnden entsteht die Wi- stande zusatzlich von einer weiteren physikali-
2.2 Widerstande 101

Rj — R^ e,fi(i/r-i/rN) (2-10)

dabei bedeuten Rj, R^ den Widerstand bei der


Temperatur T bzw. T^ (Nenntemperatur) und B
eine Materialkonstante (der „5"-Wert).
Durch Differenzieren der Gl. (2-10) ergibt sich
der Temperaturkoeffizient a zu

Die Widerstands-Kennhnie zeigt Bild 2-14.


Bild2-13. Abgleichbare Chipwiderstdnde in Dick-
schichttechnik
Werkfoto: Siegert electronic 10^
^^n^
schen GroBe abhangig. Diese Abhangigkeit Nx
wird im Widerstandssymbol durch die schrage
Linie verdeutlicht, an deren Ende die entspre-
^J
m
chende physikalische GroBe steht. Was die ^KiXK \
Temperaturabhangigkeit betrifft, unterscheidet
man zwischen Heifileitern mit negativem Tem-
peraturkoeffizienten (NTC) sowie Silicium-Wi-
derstanden (NTD-Si) und Kaltleitern (PTC) mit
^m
V N V ^ Ox

#1m # -
V-W—h
positivem Temperaturkoeffizienten. Die Vari- ^W ^?w
^WN si++
-Nn^
^N\rxK m
storen sind spannungsabhdngige Widerstande
(VDR) und Feldplatten bieten magnetfeldabhdn- " NK Vs
gige Widerstande. Die lichtabhdngigen Foto-
widerstande (LDR) werden in der Optoelektro-
nik (Abschn. 6) beschrieben. Die Abhangigkeit
^10^

-
-
T w ^S ^s
^
^JNM
N470 kn]
3330
^220
J150
des Widerstandswertes von den physikaHschen
GroBen laBt sich durch Naherungsgleichungen nioo
103 vj 68
(Bild 2-9) berechnen. Die tatsachlichen Abhan- H———

Kt 33J 47
33
22 ,
gigkeiten, die fur den Einsatz in Schaltungen - •^blH
RR 1 15
wichtig sind, stellt man aber durch Kennlinien
dar. 1 III 1 1 11 I I I 1 11 16'S|
I 1
10^
25 0 25 50 75 100 125 150
Umgebungstemperatur i>^ / °C
2.2.3.1 Heifileiter (NTC-Widerstande)
Bild2-14. Widerstands-Temperatur-Kennlinie eines
HeiBleiter besitzen einen negativen Temperatur- Heifileiters im Aluminium-Gehduse.
koeffizienten (NTC: Negative Temperature Werkfoto: Philips
Coefficient), d. h. die Leitfahigkeit ist im heiBen
Zustand groBer als im kalten (daher der Name
HeiBleiter). Zum Einsatz kommen Mischkri-
stalle aus Fe304 (mit MgCr204 oder Zn2Ti04),
aus Fe203 (mit Ti02) sowie NiO oder CoO Stationare Spannungs-Strom-Kennlinie
(mit Li20).
Tragt man die Werte fiir die Spannung bei kon-
stanter Temperatur als Funktion des Stromes
Temperaturabhangigkeit
auf, dann ergibt sich die Spannungs-Strom-
Die Temperaturabhangigkeit eines HeiBleiters Kennlinie eines HeiBleiters. Wird der HeiBleiter
laBt sich naherungsweise durch folgende Glei- von einem elektrischen Strom durchflossen,
chung beschreiben: dann gilt fiir die elektrische Leistung P\
102 2 Passive Bauelemente

Fremdtemperaturen gemessen werden. Mit zu-


P = G.,(T-rj + C . , ^ , nehmender elektrischer Belastung wird der
(2-12)
HeiBleiter selbst erwarmt (Eigenerwarmung)
und der Widerstand beginnt zu sinken. In die-
wobei Gjh der Warmeleitwert, T die Tempera- sem Bereich kann man den HeiBleiter zur Span-
tur des HeiBleiters, T^ die Umgebungstempera- nungsstabihsierung einsetzen. Das Hauptein-
tur, und C^^^ die Warmekapazitat des HeiBlei- satzgebiet der HeiBleiter liegt heute bei Schutz-
ters ist. und Kompensationsaufgaben; denn zur Mes-
Wird dem HeiBleiter elektrische Energie zuge- sung der Fremderwarmung gibt es prazisere
fuhrt, dann erwarmt er sich zunachst. Nach Widerstande (z. B. Silicium-Widerstande, s. Ab-
einiger Zeit ist der stationare Zustand erreicht, schn. 2.2.3.2).
d. h. er gibt die zugefuhrte elektrische Leistung
durch Warmeleitung oder Warmestrahlung an Kennlinienkorrektur
die Umgebung ab. Dann wird dT/dt = 0, so
daB sich aus Gl. (2-12) ergibt: Fiir manche Schaltungen wird ein bestimmter
Kennlinienverlauf benotigt, den kein handels-
P = G , , ( T - T J Oder ubhcher Typ besitzt. In anderen Anwendungen
stort die starke NichtHnearitat der Wider-
PR^ = G , H ( r - T J Oder (2-13) stands-Temperatur-Kennlinie. Diese Forderun-
gen lassen sich durch eine Parallel- oder Rei-
c/Vi?T = G . H ( r - T j . (2-14) henschaltung mit einem Festwiderstand erfiil-
len. Allerdings ist der Temperaturkoeffizient a
Die Gleichungen (2-13) und (2-14) sind die der Kombination aus HeiBleiter und Festwider-
Parameterdarstellung der Spannungs-Strom- stand immer kleiner als der des HeiBleiters
Kennlinie. Im doppelt-logarithmischen MaB- selbst. In Bild 2-16 ist die Linearisierung einer
stab werden die Kurven gleicher Leistung HeiBleiter-KennUnie durch einen parallelen
{P = konst) und die Kurven gleicher Wider- Festwiderstand zu sehen.
standswerte {Rj = konst) zu Geraden mit der
Steigung von 45°. Bild 2-15 zeigt die zugehdrige
Spannungs-Strom-Kennlinie.
Fremderwarmung Eigenerwarmung

•? 3

10 5 10° 5 10^ 5 102


Strom J / m A
Bild 2-15. Spannungs-Strom-Kennlinie mit Bela-
stungs- und Widerstandslinien. -20 0 20 40 60 80 100 120
Werkfoto: Siemens Temperatur t? / °C
Bild 2-16. Linearisierung der Heifileiter-Kennlinie
Wie die Kennlinie zeigt, ist der Widerstandsver- (Kaltwiderstand des NTC = 10 kQ) durch einen Par-
lauf bei kleinen Stromen und Spannungen allel- Widerstand (R^ =3kQ).
Hnear, da die zugefuhrte Leistung so gering ist, Werkfoto: Siemens
daB keine spurbare Eigenerwarmung auftritt.
In diesem Bereich wird der Widerstand durch Wie Bild 2-16 zeigt, besitzt die neue Kennlinie
die Umgebungstemperatur oder die Fremd- einen S-formigen Verlauf mit einem Wende-
erwarmung bestimmt. Deshalb konnen hier punkt. Die beste Linearisierung erreicht man.
2.2 Widerstande 103

wenn sich die Arbeitstemperatur im Wende- zeigt die Abhangigkeit des Widerstandes von
punkt der Kennlinie befindet. Fur den Wider- der Temperatur.
standswert des parallelen Widerstandes R^ gilt Die leicht gekriimmte Kennlinie kann man
dann: durch geeignete Festwiderstande - in Reihe
Oder parallel geschaltet - hnearisieren. Bild 2-18
B-2T^ (2-15) zeigt mogliche Schaltungen und Teilbild 2-18 c

R. = R. [1+ i*Ai5+S*(AiJ)2 1 J

Dabei ist i^MNxc ^^^ Widerstandswert des HeiB- L (Nennwiderstandsverlauf) /


/ /
leiters bei der mittleren absoluten Temperatur 2000
/. ^
k mit>^ = 0,773-10-2 K'^
T^ (wird der Kennlinie entnommen) und B ist /
der „5-Wert" des HeiBleiters. r /?^=/?se^^'^ >/
f mit/A = 0,82-10-2 K-^
Die Steilheit der Kennlinie dR/dT der Kombi- r
1500 r
fiir t? ^ t>s M
nation ist von der Temperatur in folgender u n d / \ = 0,7-10-2 K-^
//
h fiir t> ^ i>s ^
Weise abhangig:

dR B 1 Hs

df ^M2
R\j
[l + (^MNTc/^p)']
1000
)( y
y
(2-16) r/^ y
6>5
5^
^
Aus Gl. (2-15) laBt sich der Quotient i^MNxc/^p 500
^
bestimmen. Wird er in Gl. (2-16) eingesetzt,
dann kann man fiir ein bekanntes dR/dT den
entsprechenden HeiBleiter-Widerstand berech- {\

nen. -50 0
1
50 100
Temperatur i? / °C

2.2.3.2 Silicium-Widerstande Bild 2-17. Abhangigkeit des Widerstandes von der


Temperatur.
Zum Einsatz kommt n-dotiertes Silicium mit Werkfoto: Philips
Dotierungskonzentrationen zwischen 10^"^ cm ~ ^
und lO^^cm"^. Diese engen Toleranzen lassen
sich im wesentlichen nur mit NTD-Silicium
(Neutron Transmutated Doped) erreichen. Die
Silicium-Widerstande haben einen positiven
Temperaturkoeffizienten, d. h., der Widerstand
nimmt mit steigender Temperatur zu. Dabei gilt
als Naherung

R^ = Rs[l + (xAS + p{Mf (2-17)


400

mit R^ als Widerstand bei der Temperatur fl,


R^ als Widerstand bei ^s = 25 °C, a als Tempe- Qc 300 gemessener Verlauf
raturkoeffizienten (a = 0,773 • 10-^ K"^) und 13
als TemperaturkenngroBe (j5 = 1,83 • 10"^ K~^).
Fiir die Dimensionierung einer Schaltung ver- 200 h _J \ I \ \ I I I L_
wendet man haufig die Naherungsformel -20 0 20 40 60 80 100
Temperatur •& l°C

R = R.Q^ (2-18)
Bild 2-18. Schaltung zur Linearisierung der Kenn-
linie: a) Reihenschaltung, b) Parallelschaltung, c)
Dabei ist A der Temperaturkoeffizient a bei Leitwert in Abhangigkeit von der Temperatur bei einer
^ = 25°C (yl = 0,773-10"^ K-^). Bild 2-17 Reihenschaltung von 2370 Q.
104 2 Passive Bauelemente

den Verlauf der Leitfahigkeit l/{R-\-R^) in Ab- zugstemperatur ,9^ an, bei dem sich der kleinste
hangigkeit von der Temperatur bei einem Se- Widerstandswert R^^^ verdoppelt hat. Ab die-
rienwiderstand von 23700. ser Bezugstemperatur ,9^ beginnt der steile Tem-
Der Vorteil der Siliciumwiderstande gegeniiber peraturanstieg, der fiir den Kaltleiter typisch ist,
den HeiBleitern ist die hohe MeBgenauigkeit bei und endet bei der Temperatur ^^.
sehr engen Toleranzen zu einem giinstigen Typische Anwendungsfelder fiir Kaltleiter sind
Preis. Aus diesen Griinden verdrangen sie bei Flussigkeits-Niveaufuhler, selbstregelnde Ther-
der Temperaturmessung und -kompensation mostate und die Verwendung als Verzogerungs-
zunehmend die NTC-Widerstande. Schaltghed.
Wie Schaltungskombinationen mit Festwider-
2.2.3.3 Kaltleiter (PTC-Widerstande)
standen, Kaltleitern und HeiBleitern bestimmt
Kaltleiter besitzen einen positiven Temperatur- werden, ist in Abschnitt 1.4.3 ausfiihrlich darge-
koeffizienten (PTC: Positive Temperature Coef- stellt.
ficient), d. h., die Leitfahigkeit ist im kalten Zu-
stand groBer als im warmen (daher der Name 2.2.3.4 Spannungsabhangige Widerstande
Kaltleiter). Als Werkstoff dient eine ferro- (Varistoren, VDR)
elektrische Mischkeramik aus BaTi03 oder
SrTi03. Spannungsabhangige Widerstande (VDR: Vol-
tage Dependent Resistor) werden auch Varisto-
Temperaturabhangigkeit ren genannt. Ihre (7//-Kennhnie ist symme-
trisch (Bild 2-20), und der Widerstand nimmt
Der Kaltleiter hat im Gegensatz zum HeiBleiter mit steigender Spannung ab, wie ein Nichthnea-
nicht immer einen positiven Temperaturkoeffi- ritatsexponent a beschreibt.
zienten, wie Bild 2-19 zeigt. Auch ist die Kur-
venform nicht als mathematisch geschlossene Den Verlauf der Spannungs-Strom-Kennlinie
Funktion darzustellen. beschreibt die Gleichung:
Der positive Temperaturkoeffizient beginnt bei
dem kleinsten Widerstand i^min- ^^^ Wider- 10000
standsanderung erstreckt sich iiber mehrere
T~
Zehnerpotenzen. Der Hersteller gibt eine Be- 8000 1
1
/
A\ $ 6000 1
^e
i E
o

1\
f
^ 4000
/
-
- 2000
/
/I 0 /
220 260 30 0
/
- \i/'
/
- 1 -2000

/
-4000
^b /r
,^ /^ 1
- 1
-6000
- 1 1
1 1
1 1
1 1 -8000
1 1
'^1
Temperatur t^ / °C

Bild2-19. Widerstands-Temperatur-Kennlinie eines


i
Bild2-20.
-10000
Spannungs-Strom-Kennlinie eines Vari-
Kaltleiters. stors.
2.2 Widerstande 105

Lineare Kennlinien Logarithmische Kennlinien


I = KU\ (2-19)
/ i i
/ ist der Strom (in A) und U die Spannung logZ

(in V) des Varistors, K eine geometrieabhangige /


Konstante (in AV~ ^) und a der Nichtlinearitats- E
0

exponent. Fur den Widerstand R und die Lei-

J
CO

stung P in Abhangigkeit der angelegten Span-


nung U gilt der Zusammenhang
U log^ 1

/?, :
log/? \
•D
C
03
p= UI = KU°'^^ . (2-21)
CD

Logarithmiert man Gl. (2-19) bis Gl. (2-21), so


U logZ/
ergeben sich folgende Geradengleichungen, die
eine anschauliche Beschreibung der Zusam-
P i
menhange zulassen: logP
/
01
C
log/ =logX + alog(7, (2-22 a) zz

0)
logi^ = log(l/X) + ( l - a ) l o g l / , (2-22b) _J

logP = logic + (a +1) log I/. (2-22c) J u


/
log^
.

Bild 2-21 zeigt die entsprechenden Kurven in Bild 2-21. Strom-, Widerstands- und Leistungsab-
linearer und logarithmischer Darstellung. In hdngigkeit von der Spannung.
den Datenblattern wird meist die logarithmi-
sche Darstellung bevorzugt.
Der Nichtlinearitatskoeffizient a laBt sich aus ansteigender
Bereich
Gl. (2-22 a) bestimmen, wenn man zwei Werte-
paare fur Strom und Spannung {IJU^ und
^2/^2) ^^s ^^r Kennlinie nimmt und einsetzt, so
daB sich a wie folgt errechnet:

Die a-Werte hegen zwischen 30 und 60 (fiir


ZnO-Varistoren).
Wahrend Bild 2-21 den theoretischen Zusam-
menhang zwischen Strom und Spannung zeigt
(Bild rechts oben), gibt Bild 2-22 den tatsach-
lichen Verlauf der Strom-Spannungs-Kennlinie
eines Varistors im doppelt logarithmischen
MaBstab wieder.
Wie aus Bild 2-22 zu ersehen ist, gibt es einen
Arbeitsbereich, in dem die Kennlinie im doppelt
logarithmischen MaBstab in guter Naherung 100 250 1000
eine Gerade mit a = 38 ist. Nach Bild 2-22 liegt Spannung U/ y
dieser Arbeitsbereich bei Stromen von 10"^ A Bild 2-22. Strom-Spannungs-Kennlinie im doppelt lo-
garithmischen Mafistab. Werkfoto: Philips
106 2 Passive Bauelemente

bis 10^ A, d. h. bei Spannungen im Bereich von a) ohne Magnetfeld b) mit Magnetfeld
170 V bis 300 V. Haufig gibt man in den Daten-
blattern die Varistorspannung an, die der Span-
nung eines Varistorstromes von 1 mA ent-
spricht (in Bild 2-22 sind dies 250 V). Diese
Spannung dient zur Klassifikation des Vari-
stors. In der Praxis rechnet man nicht mit der
Kurve, sondern sucht den Varistor so aus, daB c) Kennlinie

seine Verlustleistung bei der hochsten vorkom-


menden Betriebsspannung klein ist, gefahrliche
Uberspannungen aber durch sehr hohe Strome /
im Varistor begrenzt werden.
Die Varistoren sind wie Kondensatoren aufge-
baut (s. Schema in Bild 2-9). Zwischen den bei-
den Elektroden befindet sich gesintertes ZnO
(friiher SiC) mit anderen Oxiden. Wahrend die
Korner aus ZnO gut leiten, besitzen die Korner
aus den anderen Oxiden eine geringe Leitfahig-
keit, d. h. einen hohen Widerstand. An den Stel-
len, an denen die ZnO-Korner zusammen-
stoBen, bilden sich Mikro-Varistoren mit einer ^0

Ansprechspannung (Spannung fiir den leiten- 0,5 1,0 1,5 2,0


Induktion B /T
den Zustand) von 3,8 V Das elektrische Verhal-
ten eines Varistors ergibt sich durch Reihen-
und Parallelschaltung solcher Mikro-Varisto- Bild 2-23. Charakteristik einer Feldplatte: a) Strom-
ren. Der steile Anstieg des Widerstandes (s. Bild pfad ohne Magnetfeld, h) Strompfad mit Magnetfeld,
2-20) und die schnellen Schaltzeiten t^ < 25 ns c) Kennlinie.
machen den Varistor zu einem sehr guten einen aus dem Grundwiderstand RQ der Feld-
Schutzelement (z. B. fiir Blitz-StoBspannungen platte (Widerstand ohne Magnetfeld) und dem
in Versorgungsnetzen). Andere Einsatzgebiete zusatzlichen Widerstand aufgrund der ma-
sind die Spannungsstabilisierung und die Ab- gnetischen Induktion R^. Der Grundwider-
sorption von Schaltenergie (z. B. beim Funken- stand RQ wird bestimmt durch die
loschen). - Leitfahigkeit G des Materials, fiir die es je
nach Dotierung (mit Tellur) drei Grundtypen
2.2.3.5 Magnetfeldabhangige Widerstande gibt:
(Feldplatten) D-Material: Undotiert mit einer Leitfahig-
Bei den magnetfeldabhangigen Widerstanden keit von G = 200 (Q cm)"^;
steigt der Widerstand bei Erhohung des Ma- L-Material: Dotiert mit einer Leitfahigkeit
gnetfeldes an. Ursache ist der Halleffekt, bei von^ = 550(Qcm)-^;
dem ein transversales Magnetfeld die Strom- N-Material: Dotiert mit einer Leitfahigkeit
richtung zu drehen vermag. Als Material dient vono- = 800(ncm)'^;
Indium-Antimonid (InSb), in dem in Querrich- - Breite des Maanderstreifens (meist 80 |im);
tung gut leitende Nickel-Antimonid-Nadeln
- Dicke des Maanderstreifens (meist 25 |im);
(NiSb) bei der Herstellung ausgeschieden v^er-
den. Ohne auBeres Magnetfeld leitet der Kistall - Lange des Maanderstreifens.
gut, well der Strom iiber die eingebetteten Da die Veranderung des Widerstandes durch
NiSb-Nadeln flieBt (Bild 2-23 a). Beim Anlegen das Magnetfeld tragheitslos erfolgt, kann man
eines Magnetfeldes werden die Ladungstrager Feldplatten in der Hochfrequenztechnik ein-
abgelenkt, so daB sich der Widerstand erhoht setzen.
(Bild 2-23 b). Die Anderung des Widerstandes Der Temperaturkoeffizient a ist bei diesen
mit zunehmender Induktion B zeigt Bild 2-23 c. Bauelementen sowohl von der Temperatur als
Der Widerstand besteht aus zwei Anteilen: zum auch von der magnetischen Induktion B abhan-
2.2 Widerstande 107

gig. Genauere Angaben sind in den Datenblat-


tern der betreffenden Hersteller zu finden.
Wie Bild 2-9 zeigt, finden Feldplatten vornehm-
lich als kontaktlose Potentiometer, zur Dreh-
zahlmessung und als beruhrungslose Geschwin-
digkeitsmesser Verwendung, ferner zur Ansteue-
rung von bipolaren Transistoren (Abschn. 3).

2.2.4 Einstellbare Widerstande


(Potentiometer)
Einstellbare Widerstande dienen als verander-
liche Spannungsteiler (Bild 2-24 a) oder als ver-
anderliche Widerstande (Bild 2-24 b).
Wie Bild 2-24 zeigt, sind hierzu mindestens drei
Anschliisse notwendig, der Anfang (a) und das
Ende (c) des Widerstandes sowie der Schleifer-
abgriff (b). Bild 2-25 zeigt ein Drehpotentiome-
ter mit den Drehbereichen und den Anschlus- Bild 2-25. Schnitt durch ein Drehpotentiometer.
sen. Werkfoto: Bourns
Widerstandskurven nach DIN 41450 geben an,
wie sich der Widerstandswert R^^/R^^ in Ab-
hangigkeit von der Schleiferstellung a andert
(maximal etwa 270°). Bild 2-26 zeigt die mog-
lichen Widerstandskurven. Ein positiver loga-
rithmischer Widerstandsverlauf wird beispiels-
weise zur Einstellung der Lautstarke benotigt,
da das Ohr die Lautstarkeanderung logarith-
misch wahrnimmt. Dann ergibt sich pro Dreh-
winkel eine als gleichmaBig empfundene Laut-
starkezunahme.
Als Werkstoffe fur Potentiometer eignen sich
Drahtwicklungen, Kohleschichten oder Schich-
ten aus Cermet (Metallteilchen in Keramik-
oder Glasmasse). Einfache Schichtpotentiome- Stellwinkel a
ter sind nicht sehr genau (Abweichungen von
Bild 2-26. Widerstandskurven nach DIN 41450.
±20%). Wesentlich genauer sind Drahtpoten-
tiometer oder Prazisionspotentiometer, die je- papierplatte, bei hochwertigeren Potentiome-
doch sehr teuer sind. Die Widerstandsbahn aus tern ist es eine Keramikscheibe.
einer Hartkohleschicht verlauft kreisformig um
Folgende Bauformen sind liblich:
die Drehachse. Bei der einfachsten Ausfuhrung
befmdet sich die Kohleschicht auf einer Hart- - Draht-Drehpotentiometer
al Spannungsteiler
Ein ringformiger Keramikkorper wird mit Wi-
b) Veranderbarer Widerstand
derstandsdraht bewickelt. Den Schleifer bewegt
man von Windung zu Windung; deshalb weist
der Widerstand Stufen auf, die um so kleiner
sind, je mehr Windungen die Wicklung hat.
Als Prazisions-Potentiometer fmdet das Viel-
gang-Potentiometer Verwendung, wobei der
Widerstandskorper nicht ringformig, sondern
Bild 2-24. Potentiometer: a) als Spannungsteiler, b) schraubenformig ist. Der Schleifer folgt der
als veranderbarer Widerstand. Schraube (s. Bild 2-9, rechts unten).
108 2 Passive Bauelemente

Die Potentiometer aus Draht sind am hochsten Belag A


belastbar (bis zu 100 W). Dem Trend von der
Analog- zur Digitaltechnik folgend, ersetzt man
die genauen Potentiometer zunehmend durch
Schaltungen mit AD-Wandlern.
\ >>
=) 5^ /1 A /
Elektroden

- Schiebepotentiometer -I :^^^^^^^ y/ Dielektrikum

Der Schleifer ist von auBen durch eine Schiebe-


nase zu betatigen. Solche Schiebepotentiometer
benutzt man haufig in der Unterhaltungselek-
Bild 2-27. Aufbau eines Kondensators.
tronik.
- Spindel-Potentiometer das Farad F (M.FARADAY, 1791 bis 1867):
1 F = 1 As/V. Das heiBt, ein Kondensator be-
Im Prinzip handelt es sich dabei um ein Schie-
sitzt die Kapazitat C von 1 F, wenn bei einem
bepotentiometer, das aber den Schleifer ganz
Strom von 1 A innerhalb von 1 Sekunde (s) die
fein mit einem Spindelantrieb einstellen kann.
Spannung U auf 1 V ansteigt (Definition nach
- Trimmer-Potentiometer DIN 1301). Das Farad ist eine sehr groBe
Bei einem Trimmer stellt man meist mit Hilfe Einheit. In der Praxis eingesetzte Kondensato-
eines Schraubendrehers die Arbeitspunkte oder ren besitzen nur Bruchteile eines Farads (mF,
Spannungspegel in elektronischen Schaltungen }iF, nF oder pF). Der Kondensator kann im
ein. Ublicherweise handelt es sich um Kohle- Gleichstrom- und im Wechselstromkreis einge-
schicht-Potentiometer. Da man die Potentio- setzt werden und erfiillt dabei im w^esenthchen
meterstellung nur selten verandert, pruft man folgende Funktionen:
diese Bauelemente nur mit 100 Zyklen. - Ladungsspeicher im Gleichstromkreis und
Die Potentiometer sind (auBer bei Trimmern) - frequenzabhdngiger Widerstand im Wechsel-
vorwiegend als Stellglieder zur Umsetzung von stromkreis,
Weg- und Winkehnformationen fur die Bedie- Mit der gespeicherten Ladung bei der Span-
nung von Geraten in Gebrauch. Die dabei auf- nung U besitzt der Kondensator den Energie-
tretenden Informationen gehen als Ist- oder inhalt E = 1/2 CU^. Fiir den frequenzabhangi-
SollgroBe in elektronischen Schaltungen zu gen Widerstand gilt: X^ = l/(coC) in Q. Wie in
Steuer- und Regelzv^ecken ein. Abschn. 1.6.3.3 (Bild 1-44) ausfiihrlich darge-
Zur Ubung stellt wurde, eilt beim verlustfreien Kondensa-
tor der Strom / der Spannung U um 90° voraus.
U 2.2-1: Ein Kohleschichtwiderstand der GroBe 0207
besitzt laut Datenblatt folgende Werte: F70 = 0,33 W, Die Kapazitat C eines Platten-Kondensators
f^max = 250 V und R^rit = 200 kQ. a) Wie groB ist der errechnet sich nach
star
standswerte R^ C = 8A/d, (2-24)
handen. Entscheiden Sie, welche Widerstandswerte
8 ist die Permittivitdt des Dielektrikums
auf Grund der Spannungen U^^^ nicht mit der vollen
Leistung P70 belastet werden diirfen. (g = 8QS/, dabei ist SQ die elektrische Feldkon-
stante und e^ die Permittivitatszahl), A die wirk-
same Elektrodenoberflache und d der Abstand
2.3 Kondensatoren der Elektroden (oder die Dicke d des Dielek-
Ein Kondensator besteht prinzipiell aus zwei trikums). Die Kapazitat C eines Kondensators
elektrisch leitfahigen Flachen (auch Elektroden ist nach Gl. (2-24) um so groBer, je hoher die
oder Beldge genannt), die durch einen Isolator Permittivitat s oder die Permittivitatszahl g^ ist,
(Dielektrikum) voneinander getrennt sind (Bild je groBer die Elektrodenflache A und je kleiner
2-27). der Elektrodenabstand d ist. In der Technik
Ein Kondensator kann Ladung speichern. Die wahlt man deshalb folgende MaBnahmen zur
Kapazitdt C als MaB fiir das Speichervermogen Erhohung der Kapazitat und kombiniert sie
des Kondensators gibt an, wieviel Ladung Q miteinander, soweit dies moglich ist:
pro Spannungseinheit U gespeichert werden - Dielektrika mit sehr hohen e^-Werten (z. B.
kann (C = Q/U). Die Einheit der Kapazitat ist Keramik-Kondensator),
2.3 Kondensatoren 109

- Vergrofierung der Fldche A durch Aufwickeln Energie pro Volumen und Frequenzbereich.
der Elektroden und des Dielektrikums ( Wik- Auch wird auf umfangreiche Normen und Qua-
kelkondensator), durch mehrere Schichten litatsvorschriften verwiesen (weltweit lEC: In-
(Schichtkondensator) oder Aufrauhen der ternational Electrotechnical Commission; fiir
Elektrodenoberflache durch Atzen (z. B. Alu- Europa CENELEC: Comite Europeen de Nor-
minium-Elektrolytkondensator) oder Sin tern maUsation Electrotechnique; CECC: CENE-
(z. B. Tantal-Elektrolytkondensator), LEC Electronic Components Committee sowie
- Verringern der Dicke d durch diinne Folien die nationalen Normen VDE und DIN). Aus
(Wickelkondensator, Schichtkondensator) der Ubersicht sind zusatzlich die wichtigsten
oder durch diinne Oxidatiomschichten (Alu- Anwendungsbereiche und die haufigsten Bau-
minium- und Tantal-Elektrolytkondensator). formen zu erkennen. Das Diagramm rechts
zeigt, in welchen Spannungs- und Kapazitats-
Aus diesen Moglichkeiten lassen sich entspre- Bereichen die einzelnen Kondensatoren An-
chende Bauformen ableiten, die immer auch fur wendung fmden.
die speziellen Einsatzbedingungen geeignet sein
miissen. Zum Verstandnis des Verhaltens von Konden-
satoren sind folgende KenngroBen von Bedeu-
Bei Kondensatoren steigen, trotz standiger tung:
Verkleinerung des Kondensatorvolumens, die
Belastungen zunehmend. Darum muB man die Nennspannung
Belastbarkeitsgrenzen der Materiahen und
Bauformen (z. B. fiir Spannungen, Strome, Be- Mit dieser Gleichspannung kann man den Kon-
triebstemperaturen, Eigenerwarmung oder Ka- densator im Dauerbetrieb ohne Schaden betrei-
pazitatsstabihtat) experimentell genau ermit- ben. Dies gilt allerdings nur fur eine einge-
teln, und die Anforderungen der Anwender schrankte Betriebstemperatur (z. B. < + 85 °C),
genau kennen. Die Angabe von Kapazitat und da bei hoheren Temperaturen beispielsweise die
Spannung allein geniigt deshalb nicht, um den zulassige Dauergrenz-Spannung abfallt (Span-
geeigneten Kondensator fiir den jeweihgen Ein- nungs-Derating) .
satzfall herauszufinden. Dazu sind weitere Da-
ten erforderhch, wie beispielsweise Kapazitdtstoleranz
- Spannungsform, Betriebsfrequenzen, Strome, Sie gibt an, um wieviel Prozent der Kapazitats-
- Einsatztemperaturen und Kiihlbedingungen, wert vom Sollwert abweichen darf Die Tole-
- Zuverlassigkeitsforderungen (Lebensdauer, ranz muB bei 20 °C im Neuzustand des Kon-
Ausfallrate, Kapazitatsstabihtat), densators eingehalten werden. Durch Lagerung
- mechanische Anforderungen (AnschluBele- und/oder Betrieb kann die Toleranz groBer
mente, Schwingungen), werden. Die Toleranzangabe ist meist auf das
- khmatische Beanspruchung, Gehause oder die Umhiillung des Kondensa-
- Einbaulage und die tors aufgedruckt.
- Beanspruchung bei der Verarbeitung (z. B.
Lotbedingungen). Verlustfaktor tanS
Datenblatter fiir die einzelnen Kondensatoren Jeder Kondensator enthalt im Betrieb verlust-
geben zumindest teilweise Auskunft iiber die behaftete Komponenten: Ohmsche Wider-
entsprechenden zulassigen Werte. stande der Elektroden und Zuleitungen sowie
Dipolumlagerungen und lonenleitung im Di-
elektrikum. Diese Verluste gibt der Verlustfak-
2.3.1 Ubersicht fiber die Kondensatoren tor tan^ = Wirkleistung/Blindleistung an. Bild
Bild 2-28 zeigt eine Einteilung der Fest-Kon- 2-29 zeigt das zugehorige Ersatzschaltbild des
densatoren sowie die einstellbaren Kondensa- Kondensators.
toren. In dieser Ubersicht sind die einzelnen Die Verluste im Dielektrikum sind dargestellt
Typen und an Hand von Schnittbildern ihr durch R (in der Kegel kein Ohmscher Wider-
prinzipieller Aufbau angegeben, ferner die wich- stand). Parallel dazu hegt der Isolationswider-
tigsten Kennwerte wie Nennspannungs- und stand i^isoi, der jedoch nur bei niedrigen Fre-
Kapazitatsbereiche, Verlustfaktor, gespeicherte quenzen wichtig ist. Die ohmschen Verluste
110 2 Passive Bauelemente

Kondensatoren

Metal If olie und


metallisierte Dielektrikumsfolie Elektrolyt
Dielektrikumsfolle

MP MK Aluminium Fest-Alu
Kunststoff metal! isiertes metallisierte (AI-EIko)
Papier Kunststoff olie

• KC (Polycarbonat •MKC
• Kl (Polyphenylen- detail •MKI
sulfid) folie •MKP
• KP (Polypropylen) •MKS
• KS (Polystrol •MKT
„Styroflex") •MKU
• KT (Polyethylen- (Zellulose)
terephthalat)
Dielektrikum ®~^»
(Papier,
Kunststoff)

Anode / Elektrolyt

Dielektrikum Kathode

Nenn- 50 V bis 630 V 200 V bis 5 k V 50 V bis 2 k V 6 V bis 600 V


spannung

Kapazitats- 2pF bis 500 nF lOOpF bis lOmF lOOpF bis IO/JF 1 iuF bis 1 F
bereich

Verlustfaktor 10 kHz: 0,1 b i s i 1 kHz: 4 bis 15 10 kHz: 0,25 bis 10 50 Hz: 80


tan 6-10-3

gespeicherte
Energie mittel mittel mittel hoch
pro Volumen

GiJte 1000 1000 1000 gering

Frequenz- Gleichspannung und Niederfrequenz bis MHz-Bereich NF und


bereich Gleichspannung
Normen 1 EC 384-7/11/12/13 1 EC 384-2/6/16 1 EC 384-11
CECC 30100 CECC 30400 CECC 30300
CECC 30900 CECC 30500
CECC 31700 CECC 31200
CECC 31800 CECC 32200
DIN 45910-22/25/26 DIN 45910-11/13/23
/27 /28

Anwendungs- Schwingkreise, Motorkondensator, Energiespeicher,


bereiche Koppel- Filter- Filterkondensator, Sieben bei
Kondensator, StoBkondensator, niedrigen und
Kfz-Elektronik, Funkentstorkondensator hohen Frequenzen
Schaltnetzteile,
Impulsschaltungen

Bauformen

rr=^ S A ^
^
^ '^ -Odl
"" ^

CFC ^
(Chip) ^ ^

Bild2-28. Ubersicht uber die Kondensatoren (Luft-jKeramik-Trimmer).


2.3 Kondensatoren 111

Sinter

Tantal Dreh- Luft-/Keramik- integrierter


Kondensator Trimmer Kondensator
(Ta-EIko)

AnschliJsse
Keramik- Rotor Metall 9 SiOo
(Palladium-
Dielektrikum
Silber) JKIassel: N D K ]
niedrige
Dielektrizitats-
konstante

H K I a s s e 2 : HDK
hohe MOS-
Dielektrizitats- Kondensator
konstante
Metal I- Schlitze in den
AuRenplatten
belage H Klasse 3
zur Justierung Werkfoto: Tronser
hochste
Dielektrizitats-
AnschluSdrahte konstante

6 V bis 125 V 4 V bis 500 V

100nF bis 1 mF 1 pF bis 1 iuF 1

120 Hz: 40 bis 350 1 kHz: ^ 6 0 1

gering
hoch (Klasse 3: mittel)

gering Klasse 1: hoch bis


sehr hoch
Klasse 2,3: gering

NF und NF und HF 1
Gleichstrom

CECC 30200 CECC 30600


CECC 30800 CECC 30700
CECC 31100
CECC 31400 Spannungs-Kapazitats-Bereiche
CECC 31500
CECC 32100 10^
\
IVIeB- und
Regeltechnik,
Datentechnik,
Kfz-Elektronik,
Kopplung ^
N^Leistung
\
\
r Leistungs-

x. \
Datentechnik, Kommunikations- 10-^ Kondensator
Kommunikations- technik. und
/ Stromver-
HF-Anwendung
technik, automatisch ^ sorgung
Schaltnetzteile bestijckbar auf JN:^
Leiterplatten
!102 X MK \ / i
" 0n Einfach-
scheibe
(GFB-B)
Q
I ]
(
Keramik
{ K?l KS^)
V^
/ / T
lOii
FP rn 10^
/ / \ ELKO
Vie!- P)
S4^ irt schicht rS / \h A \
LKO>
Fc —CID- (GA) 1 1
/
Chip 1 ^ |C. t » IQO / 1
IpF 1 nF IMF 1F
Kapazitat / F
112 2 Passive Bauelemente

^isol Kondensator eine Ladungszunahme dQ = i dt


nach der Gleichung idt = C du. Wird diese
Gleichung nach dem Strom i umgestellt, so er-
gibt sich

C i = C{du/dt). (2-26)
HI-
Bild2-29. Ersatzschaltbild fur einen realen Konden-
sator.
ergeben sich aus der endlichen Leitfahigkeit der
4
Elektroden und aus Widerstanden der inneren MKL -^ ^ -MKT
Zuleitungen sowie aus Kontaktwiderstanden
zwischen Elektroden und AnschluBdrahten ^ : ^ MKC

(dargestellt durch den Reihenwiderstand r). ^^a=: ^ = ^ —'MKY


< n
Jeder technische Kondensatoraufbau besitzt
MKP '
eine Eigeninduktivital L.
Isolationswiderstand und Zeitkonstante
Fur die Giite eines Kondensators wird der Iso- -8
-60 -40 -20 0 20 40 60 80 100
lationswiderstand i^isoi ill MQ angegeben als i?/°C
Verhaltnis von angelegter Gleichspannung zum Bild 2-31. Relative Kapazitdtsdnderung in Abhdngig-
Isolationsstrom (meist eine Minute nach Auf- keit yon der Temperatur.
ladung des Kondensators gemessen). Die
SelbstentladungS'Zeitkonstante T = R-^^^i C in
MQ • jiF = s gibt an, wieviel Sekunden nach Deshalb bewirken Spannungsimpulse mit einer
Abtrennung von der Spannungsquelle die Flankensteilheit F = du/dt impulsformige Stro-
Spannung zwischen den Anschliissen eines gela- me i durch den Kondensator. Bei einem Span-
denen Kondensators auf 37% abgesunken ist. nungsimpuls der Dauer T wird am Kontakt-
Typische Werte fur Kondensatoren mit Papier- widerstand r^ (Teil von r in Bild 2-29) die Ener-
dielektrikum sind T ^ 5000 s und mit Kunst- gie
stoffdielektrikum T « 50000 s, gemessen bei
Raumtemperatur. E = r^j (^ dt = TK C^ J {du/dtf dt (2-27)
Temperaturkoeffizient der Kapazitdt
Die Kapazitat C bei einer bestimmten Umge- in Warme umgesetzt. Zu hohe Strome konnen
bungstemperatur errechnet sich aus der Kapa- so die Kontaktierung zwischen Elektroden und
zitat C20 bei 20 °C, der Umgebungstemperatur AnschluBdrahten schadigen. Besonders Kon-
S und dem Temperaturkoeffizienten a wie folgt densatoren mit diinnen metallisierten Elek-
troden (s. Abschn. 2.3.2.1) sind durch Abbrand
C = C2o[l + a(5-20)]. (2-25) solcher dunner Kontakte gefahrdet. Deshalb
gibt man in den Datenblattern fiir jeden Typ die
Somit gibt der Temperaturkoeffizient a an, um zulassige Flankensteilheit F^ fiir den Span-
welchen Bruchteil sich der bei 20 °C gemessene nungshub um die ganze Nennspannung U^ in
Kapazitatswert reversibel andert, wenn die V/|is an. Nach Gl. (2-27) ist fiir gleiche Werte
Umgebungstemperatur um 1 K ansteigt. Der des Integrals die gleiche Kontaktbelastung zu
Temperaturkoeffizient a kann positiv oder erwarten (bei konstant gehaltenem r^ und C).
negativ sein (Bild 2-30 und 2-31). Sein Verlauf Dann gilt: Je kleiner der Spannungshub und
beeinfluBt vor allem die Resonanzfrequenz damit die Impulsdauer T, um so groBere Werte
eines Schwingkreises. dsLxfdU/dt annehmen. Die maximale Flanken-
steilheit F^ax errechnet sich aus der Nenn-Flan-
Impulsbelastbarkeit kensteilheit F^ und den entsprechenden Span-
Eine Spannungsanderung dw verursacht am nungen wie folgt
2.3 Kondensatoren 113

dampft und so die Elektroden sehr platzspa-


^.ax = ( ^ N / ^ B ) i^N. (2-28) rend erzeugt (s. Abschn. 2.3.2.3). Metallisierte
Papierfolien haben meist die Abkiirzung MP,
Beispiel metallisierte Kunststoffolien MK. Bei den
Kunststoffen dient ein weiterer Buchstabe zur
2.3-1: Fiir eine Nennspannung U^ =^ 63 V betragt die Kennzeichnung der Kunststoffart (z. B. MKP:
Flankensteilheit F^ = 45 V/|is. Die Betriebsspannung metallisierte Kunststoffolie aus Polypropylen
L/g liegt bei 8 V. Wie groB ist die maximale Flanken-
steilheit F^,,?
und ein Kondensator mit der Bezeichnung KP:
Aluminiumfolie mit Polypropylen als Dielektri-
Losung: kum). Die Kunststoffolien werden in Dicken
unter 2 jim verwendet. Eine wichtige Eigen-
Nach Gl. (2-28) ergibt sich fiir F„,, = (63 V/8 V) 45 V/^is
schaft der MK- und MP-Kondensatoren ist die
= 354,4 V/ns.
Fahigkeit zur Ausheilung nach erfolgten Durch-
schlagen.
2.3.2 Kondensatoren mit diinnen Folien
2.3.2.2 Eigenschaften
als Dielektrikum
In Bild 2-30 sind Diagramme fur die wichtigsten
2.3.2.1 Aufbau KenngroBen der Kondensatoren mit Folien-
Bei den Kondensatoren mit Metallfolien liegt Dielektrikum zusammengestellt (die roten Li-
zwischen den Metall-Elektroden (meist aus Alu- nien gelten fur die Folien, die schwarzen Linien
miniumfolie) ein Dielektrikum aus impragnier- fur Kondensatoren mit Metallfolien, die schwar-
tem Papier oder aus Kunststoff. Metallfolien zen Linien fur die metallisierten Typen).
und Dielektrika werden aufgewickelt; im abge- Wie aus Bild 2-30 zu entnehmen ist, hangt die
wickelten Zustand stellen sie einen Plattenkon- Kapazitat mehr oder weniger stark von der
densator dar. Die Kunststoffolien haben das Temperatur ab. Das Temperaturverhalten ist
Papier wegen ihres niedrigeren Verlustfaktors, aber weitgehend reversibel und zwischen den
ihrer groBen Homogenitat und ihrer Herstell- Temperaturen von etwa — 20°C und +70°C
barkeit in kleinen Dicken teilweise verdrangt. annahernd linear. Eine vergleichende Zusam-
Von den Kunststoffen (K) sind als Dielektrikum menstellung zeigt Bild 2-31. Daraus ist zu erse-
vor allem Polycarbonat (KC), Polypropylen hen (rote Linie), daB der Kondensator mit einer
(KP), Polystyrol (KS) und Polyester (Polyethy- Polycarbonat-Folie (KC, MKC) einen anna-
lenterephtalat KT) im Einsatz (s. Bild 2-28 und hernd konstanten Temperaturverlauf aufweist.
Tabelle 2-5). In Tabelle 2-5 sind die wichtigsten Kennwerte
Bei Kondensatoren mit metallisierten Belagen fur einige Dielektrika aus Kunststoff zusam-
werden die Dielektrika (Papier oder Kunststoff) mengestellt.
mit Metall (haufig Aluminium oder Zink) be- Der mit dem auBen Hegenden Kondensator-

Tabelle 2-5. Eigenschaften von Dielektrika aus Kunststoff.

--^^.^^^Kunststoff
Polycarbonat Polypropylen Polyester
Eigenschaft ~-^^--^_^^

Permittivitatszahl s^ 2,8 2,2 3,3


(lkHz;23°C) (temperaturkonstant) (bei zunehmender (bei zunehmender
Temperatur negativ) Temperatur positiv)

Spezifischer Durchgangs- 2-10^^ 6'W JQ18


widerstand Q/Q cm (23 °C)

Durchschlagsfestigkeit 535 V 650 V 580 V


in V/^m(23°C)

Temperaturbereich -55°Cbis 100 °C -55°Cbis85°C -55°Cbis 100 °C


114 2 Passive Bauelemente

Polycarbonat Polypropylen
(KC, MKC) (KP, MKP)

Kapazitatsanderung AC/C in % in Abhangigkeit

' 6 6
4 4
s? 2 S5 2

ll ^
<-2
<l-2 -4
4 6
-55-40
6
-20 0 20 40 60 80 100 _55-40 -20 0 20 40 60 80 100

Verlustfaktor tanS in Abhangigkeit

10 10

8 8

b 6 o 6
"^
t 4 1^ c 4
V CO

2 2

0 0
_55-40 -20 0 20 40 60 80 1()0 55-40 - 2 0 0 20 40 60 80 100

Verlustfaktor tanS in Abhangigkeit

30
60 1/ / 11
26 52 / /
/
<r22 f44 / i h\
fe 18 > ^
/ 2 36
// \i\
? ^^ j\ 1/ A 11
y
/ J '<=28 I \/\
J
1/1 > /V\\
§ 10
* §20
M K/ 1L
j(
6
L-r / 12
}/
-KL J'T L
2 [[ill
1 Milll 4 ^
1 1 ill ^ U
1 1 III
1(D2 103 10^ 10^ 10^ 1(D2 03 0^ 10^ 10^
f /Hz f /Hz

Zeitkonstante T in Abhangigkeit

106 10^
=

-^10^ ^10^
1 r^
'^'V

104 10^
5-40 -20 0 20 40 60 80 1 DO -E 5 - 4 0 -20 0 20 40 60 80 1(DO

Hauptsachliche

konstanter T K Q , HF-Technik
Filter, Speicher, Zeitglieder Schwingkreise, Netzteile,
Zeilenablenkschaltungen,
Oszillatorschaltungen,
Netzfrequenz: Blindstromkompensation
(Motor, Leuchtstofflampen,
Phasenschieber)

Bild2-30. Wichtige Eigenschaften und Anwendungsfelder fur Folien-Kondensatoren.


2.3 Kondensatoren 115

Polyester Papier
(KT, MKT) (MP)

von der Temperatur i? (°C) he\ f= ^ kHz

6 12
4 X'

^ 2 ^ 4

r<l-2
c
^00
cj
<l-4

-4
-12
- 6- 5 5 - 4 0 -20 0 20 40 60 80 100 -55-40 -20 0 20 40 60 80 100

von der Temperatur i? (°C) bei /"= 1 kHz

-55-40 -20 0 20 40 60 100 -55-40 -20 0 20 40 60 80 100


t>/°C

von der Frequenz f

Anwendungen

Koppein, Entkoppein, Funk-Entstorung


Abblocken Energieelektronik:
Impuls-, Filter-, Entstorschaltungen Filter-, Stiitz-, StoRkondensator
116 2 Passive Bauelemente

Kennzeichnung des AuBenbelages jeweils mit unbedampftem Rand dargestellt.


Bild 2-33 b zeigt einen Wickel-Teilbereich mit
einem erfolgten Durchschlag.
a) Bedampfte Folien

unbedampfter-j Belag 1
Rand
Bild 2-32. Kennzeichnung des Aufienbelages.

belag verbundene AnschluBdraht wird durch


einen Strich oder einen Ring auf dem Gehause
gekennzeichnet (Bild 2-32).
Verbindet man in hochohmigen Kreisen den Belag 2 —j-unbedampfter
Rand
AuBenbelag des Kondensators mit dem jeweils
niederohmigeren Teil („Masse") der Schaltung,
so wirkt er wie ein Faradayscher Kafig als
Abschirmung gegen auBere elektrische Storfel- b) Wickelbereich mit Durchschlag
der, wie beispielsweise das elektrische Feld der Dielektrikumsfolie Metallbelag
Netzspannung.
2.3.2.3 Selbstheilende Kondensatoren
(MP und MK)
Bei selbstheilenden Kondensatoren bestehen
die Elektroden (Belage) nicht aus Metallfolien
(Dicke etwa 6 |im bis 20 jim), sondern aus sehr
diinnen (0,02 |im bis 0,05 jam), im Hochvakuum Durchschlagskanal
aufgedampften Metallbelagen (metallisierte Pa-
pierfolie: MP oder metallisierte Kunststoffolie:
Bild 2-33. Aufbau eines metallisierten, ausheilfdhi-
MK). Die Selbstheilung kommt folgenderma- gen Kondensators mit erfolgtem Durchschlag.
Ben zustande: Der bei einem Durchschlag ent-
stehende Lichtbogen verdampft den Metallbe- Auf die Stirnseite des fertigen Wickels wird im
lag in der Umgebung der Durchschlagstelle. Flammspritzverfahren Metall (haufig Zink) auf-
Dadurch wird die Durchschlagstelle vom elek- gespritzt (Schoopen). Dadurch sind alle Belag-
trisch aktiven Belag abgetrennt. Der Selbstheil- windungen kontaktiert. Zuleitungswiderstand
vorgang dauert weniger als 10 |is; die Strom- und -induktivitat sind sehr gering (dampfungs-
und Energiezufuhr zur Durchschlagstelle ist be- arme Kondensatoren). Dies ist Voraussetzung,
grenzt. Da der Metallbelag nur in der unmittel- wenn der Kondensator bei hoheren Frequenzen
baren Umgebung der Durchschlagstelle ver- oder bei Spannungen mit hochfrequenten An-
dampft, ist die Kapazitatsabnahme auch nach teilen im Einsatz ist.
vielen Selbstheilvorgangen gering (z. B. etwa
2.3.2.4 Kondensatoren fiir die Leistungs-
1% nach 1000 Durchschlagen).
elektronik
Im Gegensatz zu Elektroden aus Metallfolien
kann der aufgedampfte Metallbelag nicht durch Ein spezielles Anwendungsgebiet fur Konden-
Kontaktstreifen kontaktiert werden. Selbsthei- satoren mit Folien als Dielektrikum ist die Lei-
lende und impulsfeste Kondensatoren werden stungselektronik im Spannungsbereich von
deshalb an den Wickel-Stirnseiten voUflachig 50 V bis 10000 V (VDE 0560, Teil 12). In Schal-
kontaktiert. Dazu ist es erforderlich, daB das tungen mit Leistungshalbleitern erfiillen die
Dielektrikum (Papier oder Kunststoff) auf einer Kondensatoren folgende Aufgaben:
Seite nicht bis zum Rand bedampft wird, um die - Filtern von unerwunschten Oberwellen; die
Isolierung der beiden Belage gegeneinander Kondensatoren werden zwischen dem Gleich-
sicherzustellen. Bild 2-33 zeigt den Aufbau. In stromnetz und dem Gleichstromsteller einge-
Bild 2-33 a sind der Belag 1 und der Belag 2 setzt.
2.3 Kondensatoren 117

- Stiitzen der Gleichspannung im Zwischen- MKP-Kondensator


kreis bei spannungsgefiihrten Umrichtern Wie die Kurzbezeichnung aussagt, handelt es
(periodische Abgabe kurzer und hoher sich dabei um einen Kondensator mit einem
Stromimpulse). Dielektrikum aus einer metallisierten Polypro-
- Aufnahme oder Abgabe starker StromstoBe pylenfolie. Die Vorteile dieser Bauform sind:
(Laser; Kopierer). - niedrige Verluste im Dielektrikum,
- Bedampfen oder Unterdriicken unerwiinsch- - trockener Aufbau, d. h. keinfliissigesImprag-
ter Spannungsspitzen an Halbleiterbauele- niermittel,
menten (Tragerstaueffekt in Leistungsdioden, - kleines Volumen,
Leistungsthyristoren, GTO-Thyristoren, s. - preisgiinstiges Material fur das Dielektrikum.
Abschn. 5). NachteiHg sind die relativ geringe Spitzen-
- Kommutieren, d. h. Loschen des leitenden strombelastbarkeit und die nur maBige Kapazi-
Zustandes von Thyristoren in Gleichstrom- tatsstabilitat (Kapazitatsabnahme im Laufe der
stellern und zwangsgefuhrten Wechselrich- Betriebszeit). Der MKP-Kondensator hat sich
tern. durchgesetzt fiir den Spannungsbereich 250 V
bis 600 V und fiir einfachere Anwendungen.
Fiir diese Einsatzgebiete miissen die Kondensa-
toren folgende Eigenschaften aufweisen: MKV-Kondensator
a) Hohe Spitzenstrom-Belastbarkeit.
Dieser Kondensatortyp besitzt einen besonde-
b) Hohe Spannungsfestigkeit.
ren Aufbau. Ein Kondensatorpapier wird als
c) Niedrige Eigeninduktivitat.
Elektrode beidseitig mit Me tall bedampft und
d) Hohe Energie-Speicherfahigkeit.
hegt dadurch nicht im elektrischen Feld. Als
e) GroBe Zuverlassigkeit auch bei thermischer
Dielektrikum dient eine PolypropylenfoHe, die
Belastung. Zum Einsatz kommen je nach
dafiir sorgt, daB vom aufgedampften Metall
Anforderungsprofil die Bauformen: Me-
keine Spitzenwirkungen ausgehen konnen und
tallfolien-Kondensator, MP-Kondensator,
deshalb an jeder Stelle dieselbe Durchschlag-
MKP-Kondensator und MKV-Kondensa-
tor. festigkeit herrscht. Bild 2-34 zeigt im Teilbild a
den schematischen Aufbau des Wickels und im
Die letzten beiden Bauformen werden im fol- Teilbild b das Schnittbild eines Leistungskon-
genden naher beschrieben. densators.

a) Schnitt durch einen MKV-Wickel b) Schnitt durch einen MKV-Kondensator

SchraubanschluB

Keramik-lsolator
flammgespritzte Stirnkontaktschicht Deckel (gefalzt)
,Wickelumhullung
zur Isolation
^1. Belagpapier^/ . MetalIbelage
-Zuleitungen
^1. Kunststoff-Folie. ^ -Gehause
} > } } } > } }
'^2. Belagpapier ' y M ^ 2 . Metal Ibelage
>Wickel
\ 2 . Kunststoff-Folie .
)Schoopschichten
- Kernrohr
-Wickelachse
-AbreiBsicherung

Bild 2-34. MKV-Kondensator: a) Schnitt durch den Wickel, b) Schnitt durch den Kondensator.
118 2 Passive Bauelemente

Der MKV-Kondensator weist folgende Vorteile Tabelle2-6. Bestandteile von Elektrolyt-Kon-


auf: densatoren.
- niedrige Verluste im Dielektrikum,
- hohere Spannungsfestigkeit durch Impra- Ventilmetall: Aluminium Tantal
gnierung,
- hohe Kapazitatsstabilitat durch Impragnie- Dielektrikum: AI2O3 Ta^Os
rung,
- hohe Spitzenstrom-Belastbarkeit, da Papier naB Salzlosung Schwefelsaure
Elektrolyt:
als Trager von zv^ei Metallbelagen die Kon- trocken Mangandioxid
taktierung verbessert.
Nachteilig ist seine Empfindlichkeit gegeniiber
Temperaturwechseln. Der MKV-Kondensator
ist geeignet fur Nennspannungen bis etwa 3 kV
(durch Serienschaltung von Wickeln oder in
den Wickeln) und fur erhohte Anforderungen.
2.3.3 Elektrolyt-Kondensatoren
Jeder Kondensator besteht aus zv^ei elektrisch
leitfahigen Elektroden (Belagen), die durch ein
dazwischenUegendes Dielektrikum voneinander
isoliert werden. Einen Kondensator, dessen Be-
lag von einem Elektrolyten anstelle einer metal- Bild 2-35. Ersatzschaltung eines Elko.
Hschen Elektrode gebildet v^ird, bezeichnet man
als Elektrolyt'Kondensator oder auch kurz als
Elko. Im Bild 2-35 ist das Ersatzschaltbild von Elek-
trolyt-Kondensatoren dargestellt. Der ohmsche
Der Elko ist in der Kegel gepolt. Ein elektrisch
Anteil der Ersatzserienschaltung hat die Be-
leitfahiges Material, der Elektrolyt, bildet die
zeichnung ESR (Equivalent Series Resistor).
negative Elektrode, Kathode genannt. Der
Der Parallelwiderstand i^p, der den Reststrom
Elektrolyt besteht aus Salzen, die in organi-
bei richtiger Polung darstellt, ist zusatzlich
schen oder anorganischen Fliissigkeiten gelost
noch von der Spannung und von der Tempera-
sind und eine geringe Menge Wasser (<2%)
enthalten. Die von dem Elektrolyten gebildete tur abhangig. Durch eine Falschpolung, hier als
Kathode wird mit dem gleichen Metall kontak- verbotener Betrieb gekennzeichnet, flieBt der
tiert, aus dem die Anode besteht. Inversstrom /RR liber die vom Ventilmetall ge-
Die positive Elektrode eines Elko (Anode) be- bildete Diode D und kann durch Bildung von
steht aus einem sogenannten Ventilmetall. Die Knallgas zu einer Explosion des Kondensators
Oxide von Ventilmetallen sperren den Strom in fuhren. Beim Elko mit flussigem Elektrolyten ist
einer Richtung, lassen ihn aber in der anderen die Kapazitat C und der ESR von der Frequenz
Richtung durch. Technisch genutzt werden die und von der Temperatur abhangig. Nur die
Metalle Aluminium und Tantal, deren Oxide aquivalente Serieninduktivitat ESL (Equivalent
Aluminiumoxid (AI2O3) oder Tantalpentoxid Series inductor L) ist konstant. Dieser Zusam-
(Ta205) das Dielektrikum des Elko bilden (Ta- menhang ist im Bild 2-36 dargestellt.
belle 2-6). Der ESR, der Verlustfaktor tan ^ und die Kapa-
Aluminium- und Tantal-Elektrolyt-Kondensa- zitat C hangen wie folgt zusammen
toren stellt man sov^ohl mit festem als auch mit
fliissigem Elektrolyten her; man spricht deshalb
auch von flussigen und trockenen Elektrolyt-
Kondensatoren. Der Elko mit fliissigem Elek-
trolyten ist selbstheilend, denn Storstellen im Der Elko ist ein recht preisgiinstiges Bauele-
Dielektrikum werden bei angelegter Gleich- ment, das eine sehr groBe Volumenkapazitat be-
spannung anodisch oxidiert. Hierzu ist der im sitzt. Abgesehen von Spezialtypen, bei denen
Wasser chemisch gebundene Sauerstoff notwen- beide Belage eine groBe Oberflache besitzen,
dig und der Reststrom /R unvermeidlich. beispielsweise bei Kondensatoren fiir Lautspre-
2.3 Kondensatoren 119

100 F FlieBpapier (Separator) fixiert, wodurch mei-


stens ein von der Lage unabhangiger Einbau
des Elko moglich ist und die beiden Folien ge-
geneinander isoliert werden (Bild 2-37).
Um die wirksame Oberflache der Aluminium-
folie, welche die Kathode bildet, stark zu vergro-
Bern, erhalt diese durch Atzen eine schwamm-
artige Oberflachenstruktur. Die das Dielektri-
kum bildende Oxidschicht AI2O3 erzeugt man
anschlieBend durch anodische Oxidation (For-
mierung). Diese Oxidschicht braucht nur sehr
ESR diinn zu sein (1,2 nm/V <d<2,2 nm/V). Durch
die groBe Oberflache, die dunne Oxidschicht
und die Permittivitdtszahl e, (9,5 fiir AI2O3) rea-
1000 Usiert man groBe Kapazitatswerte bei kleinem
f/kHz Volumen. Man spricht von einer groBen Volu-
menkapazitat.
Bild2-36. Idealisierter Scheinwiderstand Z eines
Elko in Abhdngigkeit von der Frequenz f. Der Betriebstemperaturbereich des Al-Elko ist
durch das Elektrolytsystem und die Abdich-
tung seines Gehauses festgelegt. Die Gehause-
cherweichen und zum Motoranlauf, ist der Elko
temperatur und die Wechselstrombelastung ei-
nur fiir Gleichspannung geeignet.
nes Al-Elko bestimmen maBgeblich dessen
Brauchbarkeitsdauer. In modemen Elektrolyt-
Nasse Aluminium-Elektrolyt-Kondensatoren kondensatoren fmden die in Tabelle 2-7 aufge-
Der Aluminium-Elektrolyt-Kondensator mit fuhrten Elektrolyte Verwendung:
fliissigem Elektrolyten, nachfolgend Al-Elko ge-
nannt, fmdet am haufigsten Verwendung. Den
Al-Elko stellt man fiir Spannungen U^ < 450 V Tabelle 2-7. Elektrolyte fur den Al-Elko.
und mit Kapazitatswerten bis zu C^ < 220 mF
her. Seine Hauptbestandteile sind zwei Alumi- Substanz obere
niumfolien und mit Elektrolyt getranktes FlieB- Grenztemperatur
papier, die zu einem Wickel aufgerollt sind.
Fliissige oder pastose Elektrolyte warden durch Dimethylformamid (DMF) 85 °C (105 °C)
Dimethylamid (DMA) 85 °C (105 °C)
Dimethylacetamid (DMAC) 85 °C
AnschlufSfahnen Gamma-Butyrolakton (BGL)
(kaltgeschweiRt)
105 °C
Butyrolakton 125°C
1+11

Diese Elektrolyte sind chemisch sehr stabil. Mit


ihnen sind gute elektrische Werte, wie z. B. nied-
rige Impedanz, kleine Reststrome und ein gutes
Langzeitverhalten, zu erreichen. Den guten
elektrischen Eigenschaften steht eine proble-
matische Entsorgung entgegen.
Nach der Rahmenspezifikation DIN lEC 384-4/
Kathode
CECC30300 stellt man an den Al-Elko entspre-
chend des Anwendungsbereiches unterschied-
liche Anforderungen. Typen fiir erhohte Anfor-
Bild 2-37. Aufbau der Wicklung eines Al-Elko. derungen werden mit Long Life grade (LL oder
120 2 Passive Bauelemente

Typ I) bezeichnet. Fiir allgemeine Anwendun- lastet, dann ist seine Brauchbarkeitsdauer
gen gibt es die General Purpose-TypQn (GP gleich der Bezugszuverlassigkeit.
Oder Typ II). Die Angaben in den Datenblattern beziehen
Den Al-Elko setzt man hauptsachlich zur Sie- sich in der Regel auf eine MeBfrequenz von
bung ein, vor allem in Stromversorgungen. 100 Hz und eine Temperatur von 20 °C. Fiir
Dazu wird er mit Gleichspannung betrieben, hohere Frequenzen und abweichende Tempera-
der ein Wechselstrom I^ iiberlagert ist. turen gibt man Korrekturwerte an. Mit der
Wahl von Frequenzen / > 100 Hz und vor
Fiir die Dimensionierung in der Praxis ist zu
allem von kleineren Stromen kann man die
beachten, daB die wichtigen Parameter, wie der
Brauchbarkeitsdauer wesentlich vergroBern.
Ersatz-Serien-Widerstand ESR, die Kapazitat
Die angelegte Betriebsspannung hat, entgegen
C und damit die Impedanz Z von der Frequenz
fruheren Angaben, bei neueren Elko-Typen mit
und von der Temperatur abhangig sind. Bedeu-
den in der Tabelle 2-8 genannten Elektrolyten
tend ist vor allem die sehr starke Zunahme des
einen nur unwesentUchen EinfluB auf die
ESR bei tiefen Temperaturen, die erheblich un-
Brauchbarkeitsdauer. Typisch fiir den nassen
ter dem Gefrierpunkt liegen (—20°C). Hier
Al-Elko sind Anderungsausfalle, die durch das
friert der Elektrolyt allmahlich ein und wird
Austrocknen des Elektrolyten und das dadurch
hochohmig.
verursachte Driften seiner Kennwerte C, Z, I^
Die Wechselstrom-Belastbarkeit wird bei nied- und tan 3 verursacht werden.
rigen Frequenzen durch die maximal zulassige Verwendet man den Elko in zeitbestimmenden
Spannung, die am Kondensator anliegen darf, Schaltungen, so sind folgende Effekte zu be-
begrenzt. Jede auch nur kurzzeitige Verpolung riicksichtigen: Der stark von der Temperatur
des Kondensators ist wegen der Zersetzung des und der Spannung abhangige Reststrom Q kann
Elektrolyten zu Gas und der damit verbunde- durch einen Widerstand parallel zur Kapazitat
nen Explosionsgefahr unbedingt zu vermeiden.
dargestellt werden. Der uber die Ladungsmenge
Bei hohen Frequenzen bestimmt die vom Wech-
mit einer Gleichspannung ermittelte Kapazi-
selstrom am ESR verursachte Erwarmung
tatswert heiBt Gleichspannungskapazitdt CQ
^max = ^w E^^max ^^^ Strombelastbarkeit des
und kann deutlich uber der Wechselspannungs-
Elko. Der in den Datenblattern angegebene
kapazitdt C^ liegen {CQ < 1,5 C^).
Nennwert der Wechselstrombelastung / ^ darf
ausgenutzt werden, solange die Umgebungs- Stehende Bauformen des Elko sind vorteilhafter
temperatur T^ des Kondensators die soge- als axiale Typen, die liegend eingebaut werden.
nannte obere Kategorietemperatur TQK nicht Die auf der Leiterplatte beanspruchte Flache ist
iibersteigt. klein. Da die AnschluBstifte nahe beieinander
liegen, laBt sich das Layout fiir die Elko-An-
Als Kategorietemperatur bezeichnet man die schliisse so gestalten, daB diese eine sehr kleine
Temperatur, auf die sich die spezifizierte Leiterschleife bilden. Hierdurch kann der Kon-
Brauchbarkeitsdauer, beispielsweise 3000 Stun- densator-Wechselstrom nur kleine hochfre-
den fiir einen GP-Typ und 10000 Stunden fur quente Magnetfelder erzeugen (Abschn. 17.6.3).
einen LL-Typ, des Kondensators bezieht. Ub-
lich sind die Kategorietemperaturen 85 °C,
Trockene Aluminium-Elektrolyt-Kondensatoren
105 °C und 125 °C. Abgesehen von dem Bereich
der Friihausfalle ist die Ausfallrate X wahrend Diese Kondensatoren sind ahnlich aufgebaut
der Brauchbarkeitsdauer konstant. wie solche mit nassem Elektrolyten. Der mit
Hersteller geben fiir sogenannte LL-Typen Aus- Glasfasergewebe fixierte Elektrolyt besteht aus
fallraten X zwischen 2 fit < A < 20 fit an, wah- Mangandioxid (Mn02), das auch Braunstein
rend die GP-Typen ein X von 50 fit erreichen. genannt wird. Trockene Aluminium-Elektrolyt-
Bei der in den Datenblattern angegebenen Bela- Kondensatoren, vom Hersteller SAL-Konden-
stung fur die Bezugszuverldssigkeit darf die sator (SAL = Solid Aluminium) genannt, sind
Ubertemperatur des Kondensatorbechers um nur fiir erhohte Anforderungen und mit einem
3 K, manche Hersteller geben auch 5 K an, an- Nennspannungsbereich l/^ ^ 40 V im Einsatz.
steigen. Wird der Kondensator mit den fiir die SAL-Kondensatoren kann man in niederohmi-
Bezugszuverlassigkeit angegebenen Werten be- gen Kreisen betreiben. Sie sind fiir Wechsel-
2.3 Kondensatoren 121

strombelastung gut geeignet. Selbst eine Ver- Fiir die Kondensatorkeramik kommt meist
polung mit einer Spannung von 0,3 U^ ist zulas- Titandioxid (Ti02) mit einer Permittivitatszahl
sig. SAL-Kondensatoren haben im Vergleich 8r von etwa 100 zum Einsatz. Durch Verwen-
zum nassen Elko sowohl bei hohen als auch bei dung anderer Oxide (vor allem BaO im Verhalt-
niedrigen Betriebstemperaturen hervorragende nis 1:1) kann man die Permittivitatszahl we-
Eigenschaften. sentlich steigern. Sie betragt fiir die ferroelek-
Da der feste Elektrolyt nicht austrocknen kann, trische Substanz Bariummetatitanat (BaTiOg)
ist ein Beginn von VerschleiBausfallen nicht be- bis zu 10000.
kannt, so dafi deren Ausfallrate 1 konstant klein
(10-^<2<10'^) bleibt und die Brauchbar- 2.3.4.2 Eigenschaften
keitsdauer nahezu unbegrenzt ist. Wegen ihrer
hohen Zuverlassigkeit finden trockene Alumi- Die Keramik-Kondensatoren teilt man in
nium-Elektrolyt-Kondensatoren auch in der IEC384-9/CECC 30600 und 30700 sowie in
Raumfahrt Verwendung. DIN 45910 - je nach dielektrischem Werk-
stoff - in drei Klassen ein. Die einzelnen Kera-
Trockene Tantal-Elektrolyt-Kondensatoren mikwerkstoffe, die zugehorigen Kennwerte, die
sonstigen Eigenschaften sowie die bevorzugten
Trockene Tantal-Elektrolyt-Kondensatoren ha- Einsatzgebiete der verschiedenen Klassen nach
ben eine sehr hohe Volumenkapazitat und DIN 45 910 sind in Tabelle 2-8 vergleichend
heiBen im Sprachgebrauch nur Tantal-Kon- gegeniibergestellt.
densatoren. Ihre Anode besteht aus einem mit Es sei darauf hingewiesen, daB viele dieser ge-
Tantal-Pulver hergestellten Sinterkdrper. Tan- nannten KenngroBen von Umgebungseinfliis-
tal-Kondensatoren stellt man mit Nennspan-
sen, beispielsweise von der Temperatur oder der
nungen U^ < 50 Y, mit hermetisch dichtem Ge-
Spannung abhangen. Die Diagramme fiir die
hause bis U^<15Y und mit Kapazitatswerten
Kapazitatsanderung in Abhangigkeit von der
bis zu 330 jiF her. Trockene Tantal-Elektrolyt-
Umgebungstemperatur oder der Betriebsspan-
Kondensatoren sind prinzipiell nicht fur nieder-
nung zeigt Bild 2-38. Die anderen Abhangigkei-
ohmige Kreise, etwa zum Abblocken von Ver-
ten sind den Datenblattern zu entnehmen.
sorgungsspannungen geeignet, da diese einen
Deutlich erkennbar in Bild 2-38 ist beispiels-
Vorwiderstand von 3 Q/V benotigen, um zuver-
weise der vollig unterschiedliche Verlauf der
lassig zu arbeiten. Ihr niedriger Reststrom ist
fiir zeitbestimmende Kreise vorteilhaft. Kapazitatsanderung in Abhangigkeit von der
Temperatur innerhalb derselben Klasse 2, zum
Nasse Tantal-Elektrolyt-Kondensatoren einen fur die Keramikart X7R und zum andern
fiir die Keramikart Z5U.
Hier wird die Ladung in der sehr diinnen Grenz-
schicht der porosen und damit extrem groBflachi- 2.3.4.3 Bauformen
gen Kohlestaub- oder Kohlefaserelektroden zum
elektrolytgetrankten Separator gespeichert. Mit Einschicht-Kondensator (Klasse 1 und 2)
2,3 V bis 5,5 V Betriebsspannung und 0,1 F bis Der Einschicht-Kondensator besteht aus einem
1.000 F stehen Energiespeicher fiir die Llicke diinnen Keramikplattchen mit beidseitig auf-
zwischen Kondensator und Batterie bereit. gebrachten Kupfer-Belagen, an die AnschluB-
drahte angelotet sind. Die im Tauchverfahren
aufgebrachte Epoxidharz-Umhiillung verleiht
dem Kondensator groBe mechanische Festig-
2.3.4 Keramik-Kondensatoren keit, einen guten Feuchteschutz und ist wider-
standsfahig gegen alle verwendeten Losungs-
2.3.4.1 Werkstoffe und Einteilung mittel. Bild 2-39 zeigt einen Einschichtkonden-
Bei diesen Kondensatoren besteht das Dielek- sator im Schnitt und schematisch.
trikum aus einer Keramik, d. h. einer anorgani- Fiir den Einbau sind die Vorschriften iiber die
schen, nicht metallischen, polykristalhnen Sub- mindestens einzuhaltende Lange der AnschluB-
stanz, die durch einen BrennprozeB bei hohen drahte (markiert durch Stauchteller oder Sicke)
Temperaturen (1200 °C bis 1400 °C) entsteht. sowie die Lotparameter (Lottemperatur maxi-
122 2 Passive Bauelemente

Tabelle 2-8. Eigenschaften und Anwendungen von Keramik-Kondensatoren der verschiedenen


Klassen.
^^...^^ Klasse Klasse 1 Klasse 2 Klasse 3
Eigei>^\^ (NDK: Niedrige Permit- (HDK: Hohe Permit- (Sperrschicht)
schaften ^ ^ ^ tivitat) tivitat)

Keramik- Ti02 ferroelektrisches BaTi03 ferroelektrisches BaTi03


Werkstoff (mit BaOP, L a 2 0 3 , mit Halbleitersperr-
schichten
m,o,)
Permittivitats- 13 bis 470 10^ bis 10^ bis 50 • 10^
z a h l Sj.

Verlustfaktor t a n ^ <1,5-10^ <30-10-3 <60-10-^

Keramikart COG X7R Z5U

Temperatur- konstant (0 + 30) groB sehr groB nicht konstant


koeffizient
a-lQ-^K"^

Alterung keine -2% -5% 2%

je log. Zeitdekade je log. Zeitdekade

Sonstige • Kapazitatsanderung • Kapazitatsanderung • geringer Isolations-


Eigenschaften linear von der Tempe- nichtlinear von Tem- widerstand
ratur abhangig peratur und Spannung • kleine Nennspannung
• Kapazitat und Verlust- abhangig (max. 100 V)
faktor nicht spannungs- • hoher Isolationswider- • hochste Kapazitats-
abhangig stand bei Gleichstrom werte pro Volumen
• hoher Isolationswider- • groBe Kapazitatswerte • hoher Verlustfaktor
stand bei kleinen Abmessun-
• niedrige dielektrische gen
Verluste (bis in den • Abnahme der Permitti-
UHF-Bereich) vitat bei hoheren Fre-
• enge Kapazitatstole- quenzen, bei Alterung
ranzen
• keine Alterung

Einsatzgebiete Schwingkreis Kopplung Stiitzkondensator


Filterschaltung Entkopplung sonst wie Klasse 2,
MeBverstarker (Sieben, Abblocken) aber geringere
Zeitglied Funkentstorung bei Anspriiche an Kapazi-
Kopplung und Siebung kleinen Spannungen tatskonstanz
(besonders bei HP) NF-Anwendungen

mal 270 °C, Lotdauer maximal 10 s) einzuhal- block eingesintert sind. Die seitlich zueinander
ten. versetzten Elektroden werden von den Stirnsei-
ten herausgefiihrt und dort kontaktiert. Die so
Vielschicht-Kondensator (Klasse 1 und 2) hergestellten Vielschicht-Kondensatoren sind
fur konventionelle Bestuckung radial bedrah-
Keramische Vielschicht-Kondensatoren beste- tet. In CHIP-Ausfiihrung kann man diese Bau-
hen aus kammartig ineinandergreifenden Elek- form als SMD direkt auf die Platine loten. Bild
troden, die in einem monolithischen Keramik- 2-40 a zeigt den Aufbau eines CHIP-Kondensa-
2.3 Kondensatoren 123

o
CD

/ 00

11
/ CD

n / o o
5d- o
CD
M O 3 \ o ^
CN c^ CO

CO
\ O
1n

n
CM

1 CM

O
Si

CD
/ O
o o o o o o o o o
00 -^ '^f 00 "* ';!• 00

o
/ CD

00

o
CD
D
ID O O /
N CD
0)
Q.
^ o
o =,
O)
c
Ir o ::5
CN <:t, C
CO
E C
CO

i rK o CD
CU Q.
CN
05 o
c
X)
\ CM
O
Si
GO Si
(U
en
o
CD O
E o o c3 ' TJ
c3 O O O O C
D LO C c^•J CN >!t CD 0
CN (U
•o -^
'53

05
(U QJ
'O)
Ol
C c oq
1 "^ :CD
x:
:CD
SI
O SI
XI CM

< itrN O
< 00
O
c CN

) O
00 <1
cc
c

Iff
/
o c
•D
CD

i
X CD(^
•D •^ >
<]
c
'St ^

N
:CD CD -^
E O) CO

c CN :CD
/
H. 4
Q) Q.
•TO o CD CM
C
y
>1\ /
:C0
CD
Q.
o CN
CD CM
1
jv o•«t \
o o o CD o o o o c3
^ CN CN •^ h

in
I
-5i

^p o
1^

CD

^^B
— -J--n
I^B 00
LD

r-
CD

o
O
cu ' ]

c 1 1
j^p I"*
.Si

CD ^
CD

1CM
o5
v> cc loo
1- p-L
Jo
^1 nM jo
Jo
1CM

Jo
CO

"^
y^»
C>j O
1
CM "st
ICN

J ID
LD ID O ID O ID O LD
124 2 Passive Bauelemente

a) Schnitt b) Schema Kontaktierung

Cu-

R
leitende Zone
Elektrode/^^

Sperrschicht

-(jj)-—V"" Stauchteller
Keramik- Epoxidharz- Bild 2-41. Aufbau eines keramischen Sperrschicht-
plattchen HiJIle Kondensators.
Bild 2-39. Einschichtkondensator. Er besteht vorwiegend aus Barium- oder Stron-
tiumtitanat. Durch chemische Reduktion wird
a) CHIP die Keramik leitfahig gemacht. Dann bildet sich
durch oberflachliche Oxidation eine sehr diinne
Lotflachen
Dielektrizitatsschicht, die Sperrschicht. Zwei
Arten Sperrschichtkondensatoren sind zu un-
terscheiden: Bei der ersten befmdet sich die
Sperrschicht direkt an der Oberflache der Ke-
ramik, d.h. unter den Metallelektroden. Die
Sperrschicht wird beim zweiten Typ an den
Korngrenzen der Keramik erzeugt. Dadurch
gibt es innerhalb der Keramik viele Miniatur-
Elektroden
kondensatoren, die in Reihe oder parallel ge-
schaltet sind und nach auBen wie eine groBe
b) Bedrahtete Ausfiihrung
Kapazitat wirken. Durch diesen Aufbau ist bei
Keramik- gleicher Dicke d und Flache A die Kapazitat
Dielektrikum etwa fiinfmal hoher. Die Sperrschichtkonden-
Umhiillung
satoren weisen folgende Besonderheiten auf:
- groBe Werte fiir das Verhaltnis Kapazitat/Vo-
lumen,
- niederer Isolationswiderstand,
- groBer Verlustfaktor,
- groBe Frequenzabhangigkeit.

AnschluBdrahte 2.3.5 Einstellbare Kondensatoren


Veranderbare Kapazitaten sind beispielsweise
Bild 2-40. Schematischer Aufbau eines Vielschicht- notwendig, um in der Nachrichtentechnik die
Kondensators: a) CHIP, b) bedrahtete Ausfiihrung. Empfanger abzustimmen oder den frequenz-
tors und Bild 2-40b den Aufbau eines bedrahte- unabhangigen Spannungsteiler am Y-Eingang
ten Vielschicht-Kondensators. eines Oszilloskops abzugleichen. In Bild 2-28 ist
Inzwischen gibt es Keramik-Vielschichtkonden- der Drehkondensator zu sehen, dessen parallel
geschaltete Flatten ineinandergreifen (die maxi-
satoren auch mit hohen Kapazitatswerten, bis
male Kapazitat ist 500 pF). Die fruher beson-
100 |LiF und kleinen Betriebsspannungen, 6,3 V.
ders in Rundfunkgeraten weit verbreiteten
Ebenso werden hochwertige Prazisionskondensa- Drehkondensatoren werden heute durch Kapa-
toren mit 1% Toleranz bis in den nF-Bereich an- zitatsdioden ersetzt, die elektrisch steuerbar
geboten. und billig herzustellen sind.
Trimm-Kondensatoren (Bild 2-28) dienen zum
Sperrschicht-Kondensator (Klasse 3)
Feinabgleich der Kapazitat und sind nur mit
Die Forderung nach hoher Kapazitat pro Volu- einem Werkzeug einzustellen. AuBer Luft dient
men oder Oberflache fuhrte zur Entwicklung auch Keramik und Kunststoffohe als Dielektri-
des keramischen Sperrschicht-Kondensators kum (ergibt besonders bei hohen Frequenzen
(Bild 2-41). groBere Verluste).
2.4 Induktivitaten 125

In der Halbleitertechnik integriert man Kon- schleife verkettete Magnetfeld. Die wichtigsten
densatoren haufig als Bauelemente (integrierter Gleichungen des Magnetismus sind in der
Kondensator) (MOS-Kondensator in Bild 2-28). Tabelle 2-9 wiedergegeben.
Die beiden Elektroden bestehen in diesem Fall Induktivitaten stellt man durch Aufwickeln
aus der Metallschicht an der Oberflache und eines Drahtes auf einen Spulenkorper mit vor-
dem Halbleitergebiet. Dazwischen befindet sich zugsweise rundem Querschnitt her und benennt
als Dielektrikum eine diinne Si02-Schicht. sie auch mit dem Sammelbegriff Wickelgiiter.
Induktivitaten sind, im Gegensatz zu Konden-
satoren und Widerstanden, keine genormten
2.4 Induktivitaten technischen Bauelemente. Es gibt kleine Bau-
formen, die in ihrem Aussehen Widerstanden
Eine Induktivitat L ist ein passiver Zweipol, der ahneln und sich fur kleine Strome eignen. Uber-
- ahnlich wie ein Kondensator - Energie spei- wiegend entwickelt und fertigt man Induktivita-
chern und wieder abgeben kann. Jeder Leiter ten speziell fiir die jeweilige Anwendung. Wie
besitzt eine Induktivitat. MaBgebend fur die Bild 2-42 zeigt, gibt es Induktivitaten mit Kern
GroBe der Induktivitat L ist das mit der Leiter- und ohne magnetisch wirksamen Kern.
Induktivitaten

Wicklungsform

Zylinder (Solenoid) Ring (Toroid) Scheibe

mit Kern ohne Kern


Schaltzeichen nach I EC und DIN Schaltzeichen nach I EC
und DIN ^^^^

Kernmateria! und -form 1—\—r


Luftspule
Metallegierungen Ferrite Kernform

laminiert: Blech:
Kernblech U ! , M , El
Bandwickel

Band:
S U , S M , Ring
Pulver-
kern
Ferrit:
P, PM, RM
E, ETD
ohne
mit Luftspalt U, Ul
Luftspalt

Wechsel- Siebdrossein Transformatoren Schwingkreise


Strom Speicherdrossein Funkentstordrossein Siebglieder (Entkopplung)

Gleich- Relais
strom Hubmagnete Anwendungsbeispjel

Bild 2-42. Bauarten von Induktivitaten.


126 2 Passive Bauelemente

Tabelle 2-9. Grundgleichungen magnetischer Kreise.

Gleichungen Einheit
(Analogic)

Durchilutung 6 (engl: magneto motive force)


^ H ds = 6 allgemein; ^ H ds = V (magnetische Spannung) A
e gerader Leiter: e = H2nr; Spule: 6 = NI (U)

Magnetische Feldstarke H (engl.: magnetic field strength)


e Ni A
H =-, langeZylinderspule: if = —— m

Magnetische Feldkonstante ^Q
Vs
Am

Induktion B (engl.: magnetic flux density)


NI Vs
B = jxH, wobei /i = /Z^/IQ ^nd damit: B = ^^JXQ ——
m^

Magnetischer FluB (engl: total flux)


</) = jBdyl, §BdA = 0 Wb = Vs
A
e iiNiA^
(j) = BA, (j) = — , ohne Luftspalt: 0 = , (j)^^^ = Li (I)

Magnetischer Formfaktor Z 1^1A^ mm~^

Magnetischer Widerstand R^ A
^ 0 K ^IM. Wb
^m = T = —J- (Q)

Magnetischer Leitwert A^ (Induktivitatsfaktor) nH

(S)
^ R^ ^IJA, N'

Induktivitat L (engl.: inductance)


A^N^ N^ Vs Wb
H = —=
L- fi - ^^^ A A

Gespeicherte Energie W
W=iLi^ J = Ws

Sprungantwort des Stroms bei einer Reihenschaltung L, R


U , L
/(r) = — (1 - Q-"'), Zeitkonstante T = -
^^ R^ ^ R
2.4 Induktivitaten 127

2.4.1 Kerneigenschaften Magnetisierungskurve, die auch BH-Schleife


oder Hysteresekurve genannt wird, zu entneh-
2.4.1.1 Luftspulen men (Bild 2-45).
Induktivitaten ohne Kern heiBen auch Luftspu-
len. Die Vorteile von Luftspulen sind deren fast 2.4.1.3 Kernformen
konstante Induktivitdt: Da es keine Hysterese- In Tabelle 2-10 sind die gebrauchlichsten Bau-
schleife gibt, besteht ein linearer Zusammen- formen von Kernen zusammengestellt. Die Be-
hang zwischen der magnetischen Feldstdrke H nennung erfolgt meist nach der Formgebung
und der magnetischen Indukdon B. Ohne einen wie EE, EI (oft EJ geschrieben), EK, U, Ring
magnetisch wirksamen Kern gibt es keine ma- und Stab.
gnetische Sdttigung. Luftspulen fmden bevor-
zugt fiir hohere Frequenzen ( / > 100 kHz) Ver- Der Buchstabe „M" steht fiir Mantelkern und
wendung. Nachteilig sind deren niedrige Induk- „P" fur Pot (Topf). Pot Module kiirzt man mit
tivitat Oder anders ausgedriickt: Man benotigt PM, und Rectangular Module (rechteckiges
fiir groBere Induktivitaten vergleichsweise hohe Modul) mit RM ab. Die aus Schnittband gefer-
Windungszahlen und erhalt auBerdem einen tigten Varianten heiBen SM und SU. Schnitt-
hohen Kupferwiderstand. Zusatzlich haben bandkerne fertigt man aus aufgewickeltem und
Luftspulen einen oft storenden Streuflufi G^\ miteinander verklebtem Band. Die Kernform
Transformatoren fiir niedrige Frequenzen ETD ist eine neuere Entwicklung. Die Abkur-
( / = 50 Hz) konnen deshalb auch nicht mit zung bedeutet Economic Transformer Design
Luftspulen gebaut werden. (wirtschaftliche Transformator-Konstruktion).
Seine Vorteile sind: runder Mittelschenkel, kon-
2.4.1.2 Induktivitaten mit Kern stanter Kernquerschnitt langs des Eisenwegs
und groBes Spulenfenster. AuBerdem sind diese
Induktivitaten mit Kern nutzen die Permeabili- Spulenkorper fiir eine Automatenbewicklung
tdtszahl fi^ des verwendeten Kernmaterials, um geeignet. Mit U-Kernen kann man durch das
mit kleiner Windungszahl N eine moglichst Aneinanderfiigen mehrerer Kernpaare groBe
groBe Induktivitdt L zu erhalten. Die Permeabi- magnetische Querschnitte verwirklichen.
litdtszahl fi^ ist ein Proportionalitatsfaktor. Die-
ser gibt an, um wieviel sich die Induktivitat L 2.4.1.4 Ersatzschaltbilder
gegeniiber einer Spule ohne Kern erhoht. Bei
Spulen, die einen Kern aus ferro- oder ferri-ma- Die Ersatzschaltbilder von Induktivitaten sind
gnetischem Material besitzen, besteht ein nicht- in Bild 2-43 dargestellt.
linearer Zusammenhang zwischen der magne- Der Parallelwiderstand R^^ charakterisiert die
tischen Induktion B und der magnetischen sogenannten Eisenverluste eines magnetisch
Feldstarke H. Diese Abhangigkeit ist aus einer wirksamen Kerns. Diese setzen sich aus den

Tabelle 2-10. Kernformen.

^^^^^^ Kern-
T^ . ^^^^^ formen EE EI EF EK ETD M P PM RM U Ring Stab
Roh- ^ \ ^
material ^^^^^^

Blech • • • •
Blechpaket • •
Band •
Schnittband • •
Massiv: Ferrite • • • • • • • • • •
Verbund • •
128 2 Passive Bauelemente

Vergleich Bild 2-36: Idealisierter Scheinw^ider-


a) Bei gleich- und niederfrequenter Wechselspannung
stand Z eines Elko in Abhangigkeit der Frequenz
/ ) . Die Eisenverluste werden in der Praxis als
o-|-H Hf—HB-j-ro Verlustleistung Pp^ in W/kg angegeben. Bei
hoheren Frequenzen kann man die parasitare
Parallelkapazitat Cp und den durch den Skin
B,f,d Effekt (Stromleitung nur in der Aufienhaut des
Leiters und die damit verbundene Stromverdran-
gung) verursachten Anstieg des Kupfenvider-
b) Bei Wechselspannung mit Frequenzen f>fn^ standes RQ^ nicht mehr vemachlassigen. Die Dar-
stellung der Wicklungskapazitat als eine einzel-
ne Parallelkapazitat stellt eine Naherung dar, da
Kapazitaten zwischen Windungen und Wicklun-
gen existieren.
HF. n
Die Grenzfrequenz /g einer Induktivitat ist
erreicht, v^enn der Serienv^iderstand R gleich
groB ist v^ie der Betrag des komplexen Wider-
standes der Induktivitat, wenn also gilt: R =jcoL,
{00 = 27x/). Bei der Resonanzfrequenz ^^g sind
Bild2-42. Ersatzschaltbilder von Induktivitdten. die Betrage der komplexen Widerstande der In-
duktivitat und der Kapazitat gleich groB. Es gilt:
Wirbelstrom- und den Hystereseverlusten zu-
jcoL = l/jcoC. Hieraus ergibt sich die Resonanz-
sammen und sind Materialeigenschaften, die
frequenz
von der Induktion B, der Materialtemperatur
SQ, der Frequenz / und dem spezifischen Wi-
derstand Q abhangen. Metalle haben einen nied-
rigen spezifischen Widerstand Q. Deshalb setzt
man zur Verringerung der Wirbelstromverluste
gegeneinander isolierte Bleche ein. Der Abso- lU'

lutwert des Scheinv^iderstandes \Z\ von Spulen 1


7' = QCJOL = Q
errechnet sich bei niedrigen Frequenzen nahe- coC
rungsweise zu \A'
1 103
\Z\=J{coLf + R\ —
G - ^
Das Verhaltnis des Blindwiderstandes coL zum \
N
\
Gesamtwiderstand R einer Spule bezeichnet \ z=
102
man als Giite Q (Quality) und dessen Kehnvert —
CJC

als Spulenverlustfaktor oder auch Verlustwinkel — \


- / \
tan 6: y ^
/ ^
_(x)L_ 1 1 il 1 III 1 \ M ill
02 10^ 10^ 10
^ ~ R " t a n (5* 1ijr Filte
1ijr Trafc )SHhD ross Bin —
Der Verlauf des Scheinvv^iderstandes Z in Ab-
flHz-
hangigkeit von der Frequenz ist in Bild 2-44
Bild 2-44. Scheinwiderstand Z einer Induktivitat in
dargestellt. Bis in die Nahe zur Resonanzfre- Abhangigkeit von der Frequenz f.
quenz f^^^ verhalt sich der Scheinv^iderstand Z
ideal, namlich rein induktiv, und hat den Ver-
lauf Z =7 (oL. 2.4.1.5 Hysteresekurve
An der Resonanzstelle^es wird der Scheinv^ider- Die Hysteresekurve, auch Hystereseschleife ge-
stand Z von der Giite Q bestimmt. Oberhalb der nannt, stellt die nichtUneare Abhangigkeit der
Resonanzfrequenz y^es ist der kapazitive Anteil magnetischen Induktion B von der magne-
der Induktivitat Z = MjcoC w^irksam. (s. zum tischen Feldstarke H dar. Hysteresekurven be-
2.4 Induktivitaten 129

schreiben die Eigenschaften von ferro- oder fer- Zustand des Materials {B = 0) zu erreichen,
rimagnetischen Materialien. In Bild 2-45 sind muB man eine Gegenfeldstarke, die Koerzitiv-
Hysteresekurven von weichmagnetischen Werk- feldstdrke H^, einstellen. Bei einem weiter zu-
stoffen dargestellt. Die erstmalige Aufmagneti- nehmenden Gegenfeld wird das Material entge-
sierung folgt der sogenannten Neukurve. gengesetzt bis zur Sattigung ( —5s) aufmagneti-
Die maximal mogliche Induktion ist die soge- siert. LaBt man die magnetische Feldstarke H
nannte Sdttigungsinduktion B^. Bei ihr sinkt die wieder zu null {H = 0) werden, dann sinkt die
Permeabilitdtszahl fi^ bis auf 1 (Bild 2-45 a oben magnetische Induktion wieder bis zur Rema-
rechts). Wird die magnetische Feldstarke H zu nenz ( —5R) ab. Erst ein positives Magnetfeld
null {H = 0), dann bleibt eine Restinduktion iib- {HQ) erzeugt wieder ein unmagnetisches Mate-
rig, die man Remanenz B^ nennt. Bei Kemen rial. Die Hystereseverluste sind die Differenz
mit Luftspalt ist die Remanenz deutlich niedri- zwischen der Energie, die in einer Periode, also
ger und der Kern kann, wie in Bild 2-45 c darge- mit einem vollstandigen Umlauf der Hysterese-
stellt, auch fur unipolare Aussteuerung verwen- schleife, aufgenommen und wieder abgegeben
det werden. Um wieder einen unmagnetischen wird. Sie entsprechen dem Flacheninhalt der
Hystereseschleife. Wird die Aussteuerung her-
a) Mit und ohne Luftspalt
B = HQH
abgesetzt, dann verringert sich auch die von der
B/Ti , Neukurve Bs ___ Hystereseschleife gebildete Flache (Bild 2-45 b).
Die Gesamtverluste setzen sich aus den Hyste-
B^j rese-, den Wirbelstrom- und den Kupferverlu-
/ /
f4 ^ Kern mit
sten zusammen. Die statischen Hysteresekurven
sind schmaler als die bei hoheren Frequenzen.
B?
/ / / Luftspalt
in/ Um die Hystereseverluste moghchst klein zu
halten, sollen die fur Induktivitaten in Frage
/f^c H 1 Mm
kommenden Werkstoffe eine moglichst schmale
Hystereseschleife haben. Man spricht von
weichmagnetischen Werkstoffen; Permanentma-
— By
gnete dagegen werden aus hartmagnetischem
Material hergestellt, das eine moglichst groBe
-B,
Koerzitivfeldstarke He besitzt {Hc>10^A/m).
b) Mit verschiedenen Induktionen

B/T i

2.4.1.6 Ferrimagnetisches Material


Ferrimagnetisch werden die Eigenschaften von
Ferriten genannt. Es sind weichmagnetische
Werkstoffe mit einer kristalHnen Struktur, die
hauptsachlich aus Eisenoxid (Fe203) und Bei-
mengungen von Oxiden aus Zink, Nickel, Man-
gan (ZnO, NiO, MnO) oder anderen Metallen
bestehen. Ferrite erzeugt man durch Sintern
vorgeformter Telle. Dieser Vorgang ahnelt dem
Brennen von Keramik, deshalb spricht man
c) Mit unipolarer Induktion auch von schwarzer Keramik. Im Vergleich zu
B/T t Metallen haben Ferrite einen hohen spezifi-
schen Widerstand Q, SO daB selbst bei hohen
Frequenzen nur kleine Wirbelstromverluste
entstehen konnen. Der spezifische Widerstand Q
von Ferriten liegt um 10^ bis 10^^ hoher als der
von Ferromagnetika. Die wichtigsten Eigen-
H/ A/m schaften sind in Tabelle 2-11 zusammengefaBt.
Die Angabe Qm fur den spezifischen Wider-
Bild 2-45. Hysteresekurven. stand Q laBt sich folgendermaBen umrechnen:
130 2 Passive Bauelemente

Qmm^ Bleche
1 Qm = 10^
m Da weichmagnetische Metallegierungen im Ge-
Auf weitere physikalische Unterschiede zwi- gensatz zu Ferriten einen wesenthch niedrige-
schen ferro- und ferrimagnetischen Werkstoffen ren spezifischen Widerstand Q aufweisen, miis-
wird nicht naher eingegangen, da sich fur die sen diese, um die Wirbelstromverluste bei
Anwendung keine wesentlichen Unterschiede Wechselstrom niedrig zu halten, zu diinnen Ble-
ergeben. Die angebotene Typenvielfalt an Ferri- chen Oder FoUen ausgewalzt werden. Fur An-
ten und Kernformen ist groB. Ferrite zum Bau wendungen bei Frequenzen von / = 50 Hz sind
von Breitbandiibertragern und Schwingkreisen Blechdicken von d < 0,35 mm iibhch. In Bild
sind fiir kleine Induktionen {B < 10 mT) ausge- 2-46 sind die wichtigsten Geometrien der Dyna-
legt, wahrend Ferrite fiir Leistungsanwendun- mobleche fur Transformatoren und der bewik-
gen mit Induktionen von J5 < 350 mT betrieben kelten Schenkel schematisch dargestellt.
werden konnen.
a) El-Schnitt b) M-Schnitt c) Ul-Schnitt

Tabelle2-ll. Eigenschaften von Ferriten.


/i 1 /l
Eigenschaft Wert -1 1
1
Linearer Ausdehnungs-
CN 1 U U 0 0 0 K) \j \j\j yj
koeffizient a 10" 7K ^1

Warmeleitfahigkeit 1 5-10-2j/(mmsK)
Bild 2-46. Kernbleche.
Spezifische Warme c^ 10^J/(kgK)

Spezifischer Gleich- Sind bei einem ferromagnetischen Material die


stromwiderstand Q 0,1 Qm bis 4 Qm magnetischen Eigenschaften in alien Richtun-
gen gleich, so spricht man von einem isotropen
Induktion B nahe der Sattigung {H < 5000 A/m) Verhalten. Durch Walzen von beispielsweise
Sihciumeisen (SiFe) erreicht man eine parallele
Filtermaterial < 380 mT (10 mT) Ausrichtung der Kristallachsen (Anisotropie)
(nutzbarer Wert) und damit in Walzrichtung eine magnetische
Leistungsiibertrager-
Vorzugsrichtung (hohes /i, rechteckige Schleife).
material < 500 mT (350 mT) Man spricht von kornorientiertem Blech. Solche
(nutzbarer Wert) Dynamobleche mit 3% Si verwendet man fiir die
meisten Netztransformatoren.
Hochfrequenzmaterial 110mT<5<390mT
Bander
Anfangspermeabilitat fi^ < 10000
(Ringkern) Fiir Spulen und Transformatoren mit kleinem
Volumen bei hoheren Frequenzen ( / < 20 kHz)
stehen Ringkerne aus diinnen Bandern
(s > 30 |im) mit Legierungen von hohem Nickel-
2.4.1.7 Ferromagnetika
anteil zur Verfiigung. Neuerdings gibt es auch
AuBer Eisen (Fe) haben vor allem Nickel (Ni) Bander aus amorphem Metall (s > 17 |im), auch
und Kobalt (Co) ferromagnetische Eigenschaf- metallisches Glas genannt. Zur Herstellung von
ten. Nickel und Kobalt verwendet man als amorphen Metallen laBt man deren Schmelze
Legierungsbestandteile fur Kerne mit weich- sehr schnell erkalten, so daB sich kein kristalli-
magnetischen Eigenschaften. Eisen mit einem nes Gefuge bilden kann. Hierzu spritzt man das
Anteil von 3% Silicium {Siliciumeisen) fmdet als schmelzfliissige Metall auf eine oder zwischen
Dynamoblech eine vielfaltige Anwendung. Eine zwei sich sehr schnell drehende Walzen. Damit
Ubersicht iiber die wichtigsten ferromagneti- sich kein kristallines Gefiige bilden kann, ist die
schen Werkstoffe ist in Tabelle 2-12 wiedergege- Schmelze mit einer Geschwindigkeit von bis zu
ben. 10^ K/s abzuschrecken. Deshalb lassen sich nur
2.4 Induktivitaten 131

Tabelle2-12. Eigenschaften von Ferromagnetika.

Kristallines Gefiige

/^i Bemerkung
A/m T (Verwendung)

Dynamoblech, isotrop <100 <2,1 (Trafos)


(DIN 46400)

Silicium-Eisenblech (3% Si) <2000 > 20 <2 (Trafos)


anisotrop, kornorientiert

Reineisen <2300 > 12 <2,1 (Polschuhe)

%Ni

Nickel-Eisen (NiFe) 36-40 < 9 • 10^ > 20 <1,4 rechteckige und


(lEC 404-2) 45-50 < 15-10^ > 5 <1,6 flache Hysterese-
54-68 < 60 10^ > 5 <1,5 kurven moglich
72-83 < 250 • 10' > 2,5 <1

% Co
Cobalt-Eisen (CoFe) hohe Sattigungs-
47-50 < 1,2 10' >100 <2,3 induktion

Amorphes Gefiige

Legierungsbestandteile /^i Schleife


A/m T
< 8-10' < 0,7 = AB flach
Fe, Co, Ni, sowie B, C, P
und Si als Glasbildner > 50-10' <0,8 <0,8 rund

< 600 • 10' >0,4 < 0,55 rechteckig

vergleichsweise diinne Bander {d < 0,05 mm) sind deren recht hohe Koerzitivfeldstarke H^
herstellen. und deren vergleichsweise kleine Permeabihtat
jn. Massekerne haben uberwiegend die Form
Massekerne von Ringkernen und kleinen Stabkernen (Stift-
kernen).
Diese Kerne stellt man aus sogenannten Pul-
ververbundwerkstoffen her. Sie bestehen mei-
stens aus Nickel-Eisen-Pulver mit einem Nickel- 2.4.2 Wicklungseigenschaften
anteil von bis zu 80%. Eine Kunststoffumhiil-
2.4.2.1 Zylinderwicklung (Solenoid)
lung der einzelnen Nickeleisen-Partikel bewirkt
einen dreidimensionalen und um den ganzen Die am haufigsten verwendete Art der Wick-
Kernumfang homogen verteilten Luftspalt, wel- lung hat die Form eines Zyhnders und ist mehr-
cher Wirbelstrome in alien drei Achsen unter- lagig. Einlagige Spulen sind einfach herzustellen
driickt. Vorteilhaft sind deren lineare Magne- und fmden wegen ihrer kleinen Eigenkapazitat
tisierungskurven mit moglichst konstanter Per- Cp in Hochfrequenzschaltungen Verwendung.
meabilitat selbst bis zu hohen Induktionen. Wenn an die wirksame Eigenkapazitat der
Diese eignen sich gut fiir Drosseln, denen ein Spule keine besonderen Anforderungen gestellt
Gleichstrom iiberlagert ist (Speicherdrosseln in werden (z. B. bei Relais), kann der Kupferdraht
Stromversorgungen s. Abschn. 17.3). Nachteilig ungeordnet auf den Spulenkorper gewickelt
132 2 Passive Bauelemente

werden. Mit dicht aneinander anliegenden Win- a) normale b) gleichgerichtete


dungen und vol! bewickelten Lagen laBt sich Lagenwicklung Lagenwicklung

der Wickelraum optimal ausnutzen. Wird nach


dem Wickeln jeder Lage eine sogenannte La-
genisolation aufgebracht, dann spricht man von
einer Lagenwicklung. Bei einer Prdzisionswick-
lung ist zusatzlich die Windungszahl jeder Lage
vorgeschrieben.

2.4.2.2 Wicklungskapazitat
In den meisten Fallen liegen die Windungen
dicht aneinander und die Lagen sind iiber die Bild 2-47. Lagenwicklung.
gesamte Breite des Spulenkorpers gewickelt.
Bei dieser allgemein iiblichen Wickeltechnik Lagenisolation eingelegt wird. Die parasitare
liegt der Wicklungsanfang auf dem Ende der Kapazitat Cp wird um so niedriger, je weniger
jev^eils dariiberliegenden Wicklung; die Lagen Windungen jede Lage hat.
sind also mdanderformig angeordnet, wie bei- Eine kostengiinstige Moglichkeit zur Verringe-
spielsweise die gefalteten Seiten von Endlos- rung von Cp ist die Verwendung von Mehrkam-
Druckerpapier. Da die Spannung zwischen dem mer-Spulenkorpern, deren Wickelraum in meh-
Wicklungsanfang und dem dariiberliegenden rere Kammern aufgeteilt ist. Hierdurch kann
Wicklungsende am hochsten ist, wirkt sich hier man in den meisten Fallen auf eine Lagenwick-
die Eigenkapazitat der Wicklung stark aus. Die lung oder eine gleichgerichtete Lagenwicklung
Eigen-Resonanzfrequenz f^^^ des Schwingkrei- verzichten.
ses, der aus der Induktivitat L und der parasita- Sehr kleine parasitare Kapazitaten Cp lassen
ren Eigenkapazitat Cp gebildet wird, ist niedrig. sich mit einer sogenannten Kreuzwicklung errei-
Deshalb ist die Vervv^endung in einem Schwing- chen. Bei ihr liegen die Lagen nicht parallel,
kreis oder als Wicklung eines Transformators sondern im spitzen Winkel ubereinander, so
fur hohe Frequenzen oft nicht mogHch. daB sich die Kupferdrahte von iibereinanderhe-
Um den EinfluB der Eigenkapazitat Cp einer genden Lagen nur an einzelnen Punkten gegen-
Spule zu verringern, ist diese, oder die Span- seitig beruhren. Diese Wicklungstechnik wurde
nung zwischen sich beriihrenden Windungen beispielsweise fiir die Wicklungen von Reso-
und Wicklungen, so klein wie moglich zu hal- nanzkreisen in Empfangern (Mittel- und Lang-
ten. Eine Verbesserung der kapazitiven Biirde welle, sowie 470 kHz-Zwischenfrequenz-Ver-
erreicht man durch eine VergroBerung des Ab- starker) und fiir die Hochspannungsseite von
standes zwischen den einzelnen Lagen, mittels Zeilentransformatoren in Fernsehempfangern
einer Polycarbonatfolie (PC) als Lagenisolation genutzt. Eine Kreuzwicklung aus mit Natur-
einer Lagenwicklung. seide umsponnenem Draht ist selbsttragend, so
Eine weitere Verbesserung der kapazitiven daB ihr Spulenkorper keinen Seitenflansch be-
Biirde ist durch eine gleichgerichtete Lagenwick- notigt. Durch die Verwendung von Kupferhtze,
lung zu erreichen. Die gleichgerichtete Lagen- die mit Naturseide umsponnen ist, erreicht
wicklung ist eine Prazisionswicklung, bei der eine Kreuzspule optimale Eigenschaften (hohe
die Anfange und die Enden der einzelnen Lagen Gute).
jeweils am selben Flansch des Spulenkorpers
liegen. In Bild 2-47 ist die Lagenwicklung und 2.4.2.3 Scheibenwicklung
die gleichgerichtete Lagenwicklung schema- Von einer Scheibenwicklung wird gesprochen,
tisch anhand einer Halfte eines durchgeschnit- wenn die Hohe der Wicklung groBer als deren
tenen Spulenkorpers und mit rot gekennzeich- Breite ist. Scheibenwicklungen linden beispiels-
neter Lagenisolation dargestellt. weise fur Hochspannungstransformatoren Ver-
Bei einer gleichgerichteten Lagenwicklung wik- wendung, um die Spannung pro Lage geniigend
kelt man eine Lage voU und dann mit nur weni- klein zu halten. Eine Scheibenwicklung kann
gen Windungen zuriick bis an den Anfang der auch als gedruckte Leiterplatte gestaltet sein,
Wicklung, wobei nach jeder Windung eine wobei die magnetische Achse senkrecht zur
2.4 Induktivitaten 133

Plattenoberflache verlauft. Vor allem kleine


Werte der Induktivitat lassen sich in dieser (l) = ^BdA =^Bcos(pdA
F o r m auf einer gedruckten Leiterplatte realisie-
ren. und besitzt die Einheit W b (Weber), wobei
1 W b = 1 Vs ist; dabei ist cp der Winkel zwischen
2.4.2.4 Ringkernspule (Toroid) der Normalen der Flache A u n d der Richtung
des magnetischen Flusses B. Bei einer ebenen
Mit einem Ringkern lassen sich besonders Flache u n d senkrecht darauf stehender Induk-
streuarme Induktivitaten aufbauen. Niedrige tion kann m a n vereinfacht schreiben
magnetische Ausstreuungen erreicht man, wenn
moglichst alle Feldlinien innerhalb des Kerns <t> = BA^, (2-31)
verlaufen. Z u diesem Zweck muB die Wicklung
gleichmaBig iiber den gesamten Umfang verteilt wobei A^ die effektive magnetisch wirksame
sein, u n d der AuBendurchmesser des Kerns Flache und B die magnetische Induktion sind.
sollte nicht wesentlich kleiner als der Innen- Es gilt
durchmesser des Rings sein. Auch Ringkern-
spulen haben ein Streufeld. Werden die Lagen (j) d(/>
in nur einer Drehrichtung gewickelt, so wirkt B = — Oder allgemein B = -—
A dA
jede Lage ahnlich wie eine Windung, die auf
dem auBeren Kernumfang aufgebracht ist. Dar-
Die magnetische Induktion B ist mit der ma-
aus ergibt sich ein Streufeld in axialer Richtung.
gnetischen Feldstdrke H durch die Permeabili-
Fiir hohe Frequenzen, fur die kein geeigneter
tdt II verkniipft. Sie ist das Produkt aus der
Ferrit mehr zur Verfiigung steht, verwendet
magnetischen Feldkonstanten //Q und der Per-
m a n magnetisch neutrale Keramikringe.
Ringkerne aus Ferrit sind bevorzugt fur Funk- meabilitdtszahl fi/.
entstordrosseln im Einsatz, da deren Eisenweg
B = fiH , m i t ju = //Q /i^. (2-32)
nicht von einem Luftspalt unterbrochen wird
und deshalb hohe Induktivitaten zu verwirk-
lichen sind. Auf den Kern bringt m a n minde- Die Permeabilitatszahl /i^ ist eine dimensions-
stens zwei voUkommen identische Wicklungen lose Zahl, die im Vakuum den Wert 1 hat. Sie
auf. Diese Drosseln schaltet man so, daB in bei- gibt an, um welches Verhaltnis sich die magne-
den Wicklungen der entgegengesetzt gleiche tische Induktion B erhoht. Die magnetische
Strom flieBt u n d sich dessen Wirkungen im Feldkonstante fiQ ist eine Naturkonstante und
Kern kompensieren. Es sind die sogenannten besitzt folgenden Wert:
stromkompensierten Drosseln. D a die Kerne kei-
nen Luftspalt besitzen, ist eine hohe Permeabih-
tat leicht zu erreichen, so daB gute Dampfungen
von hochfrequenten Gleichtakt-Storstromen zu
erzielen sind. Die magnetische Feldstarke H einer langen Zy-
hnderspule (Solenoid) ist beispielsweise
2.4.2.5 Induktivitat einer Zylinderspule NI
H= (2-34)
Die Induktivitat einer Zylinderspule sei nach-
folgend anhand der Induktionsgesetze erlau-
T
tert. Wie M. FARADAY (1791 bis 1867) erkannte, wenn deren Lange 1 = 1^^ d ist (/^ ist die effek-
wird durch jede zeitliche Anderung dt des m a - tive magnetische Weglange). Ersetzt m a n dcp
gnetischen Flusses d 0 eine elektrische Span- durch die Gleichungen (2-31), (2-32) und (2-34),
nung Mi„d induziert: so erhalt m a n

N^ dl
(2-35)

Der magnetische FluB 0 ist wie folgt defmiert: D e r Ausdruck vor der Stromanderung (dl/dt)
134 2 Passive Bauelemente

bestimmt die Induktivitdt L einer Spule, so daB Kerne fiir Induktivitaten mit einem iiberlager-
gilt tem Gleichstrom benotigen einen Luftspalt, da-
mit dieser nicht sattigt. Wie in Bild 2-45 a darge-
stellt, reichen bei Kernen ohne Luftspalt schon
kleine magnetische Feldstarken H aus, um den
Kern zu sattigen. Dies gilt insbesondere fur
Die Selbstinduktionsspannung ML ist: Ringkerne. Je groBer der Luftspalt ist, um so
hoher kann die Feldstarke werden, ohne daB
d/ der Wert der Induktivitat L abfallt.
(2-37) Zur Dimensionierung von Speicherdrosseln
verwendet man unterschiedUche Verfahren.
Manche Hersteller von Ferriten geben eine
Setzt man die beiden Gleichungen (2-35) und Hanna-KuYYQ an, die fiir eine Kernform mit
(2-37) gleich, dann erhalt man die Induktivitat einem Material giiltig ist. In den Hanna-Kur-
L einer Zylinderspule (Solenoid) zu:
ven werden Li^ iiber der optimalen Durchflu-
tung ^== = AT / als Funktion des Luftspaltes dar-
gestellt. Nicht berucksichtigt wird die fast
ausschlieBlich durch den Kupferwiderstand
verursachte Eigenerwarmung (Kupferverluste)
Der Faktor / i s t 1, wenn die effektive Lange l^ der Drossel. Deshalb ist eine tabellarische An-
fiir die Spule wesentlich groBer ist als deren gabe von optimierten Werten fiir eine vorgege-
Durchmesser. AuBerdem darf die Frequenz nur bene Temperaturerhohung AO praxisgerecht.
so niedrig sein, daB man den Skin-Effekt noch Hierin sind die Werte fiir LP und die dazuge-
vernachlassigen kann. Die Induktivitat eines horigen optimalen Werte fiir NI enthalten, so-
iiber den Umfang gleichmaBig bewickelten wie die Breite des Luftspalts SL und die effektive
Toroids (Ringkernspule) erhalt man durch Ein- Uberlagerungspermeabilitat /Xeff(A) bei einer
setzen der mittleren Weglange des Toroids definierten Temperaturerhohung A^. Andere
/g = 2nr. Hersteller beschranken sich auf die Angabe der
Die Einheit der Induktivitat L ist das Henry reversiblen Permeabilitat in Abhangigkeit von
(H), wobei 1 H = 1 Vs/A = 1 Qs ist. der Feldstarke H mit der effektiven Permeabih-
tat als Parameter. Diese Kurven gestatten eine
Die Induktivitat betragt 1 Henry, wenn bei Abschatzung des Verlaufs der Induktivitat bei
einer Anderung der Stromstarke um 1A zunehmender Gleichstrom-Vormagnetisierung.
innerhalb von 1 s eine Spannung von 1 V
induziert wird.
2.5 Dioden
Besteht die Riickleitung eines gestreckten Lei-
ters aus einer metallischen Wand mit einem Ab- Dioden sind unsymmetrisch aufgebaute Zwei-
stand, der ein Vielfaches des Leiterdurchmes- pole, deren Widerstand von der Polaritat und
sers betragt, dann laBt sich die Induktivitat des der GroBe der angelegten Spannung abhangt.
Friiher wurden hierzu Vakuumrohren mit ge-
gestreckten Leiters definieren.
heizter Kathode oder Halbleiterdioden auf der
Die Induktivitat einer einzelnen kreisformigen
Basis von Selen, Kupferoxid oder Germanium
Leiterschleife laBt sich mit folgender Nahe-
verwendet. Heute ist Silicium das wichtigste
rungsformel beschreiben:
Grundmaterial fiir Dioden. Germanium fmdet
L . D D/cm nur noch fur Sonderfalle im Hochfrequenzbe-
— = 2n — In reich Verwendung. Galliumarsenid eignet sich
nH cm d/cm
durch die hohe Beweglichkeit der Ladungstra-
Spulendurchmesser D und Drahtdurchmesser d ger gut fiir Halbleiter im GHz-Bereich, es wird
in cm. aber wegen seines hohen Preises noch wenig
Hinweise zur Dimensionierung von Drosseln mit eingesetzt.
iiberlagertem Gleichstrom (z. B. Speicherdros- Dioden bestehen aus zwei unterschiedlichen
seln in Stromversorgungen): Werkstoffen, meistens p- und n-dotiertem Sih-
2.5 Dioden 135

cium, wobei der Widerstand der Grenzschicht a) pn-Ubergang ohne angelegte Spannung
u. a. von der Richtung und dem Betrag des an-
gelegten elektrischen Feldes abhangt. Schottky-
Dioden bestehen aus einem Halbleiter-Metall- +
IJbergang, der eine ahnliche Ventilwirkung wie P
+
ein pn-Ubergang hat. In Abschn. 1.8.7 ist der +
pn-tlbergang und in Abschn. 3.1.3.1 die Di-
odenkennHnie als Eingangswiderstand eines
b) pn-Gbergang in Sperrichtung vorgespannt
Transistors beschrieben.
Eine Diode besteht, wie Bild 2-48 zeigt, aus zwei
verschieden dotierten Schichten eines Halblei- l| 1 1
termaterials. Dabei enthalt p-leitendes SiHcium
Storstellenatome mit drei Valenzelektronen, +++
-I- + +
beispielsweise Aluminium und n-leitendes SiH- +++
+++
cium Storstellenatome mit funf Valenzelektro- +++
+++
nen, beispielsweise Phosphor. Im n-Material
neutraHsieren die zusatzlichen Elektronen die
hohere positive Ladung des Kerns; sie haben c) pn-Ubergang in DurchfluBrichtung betrieben
aber keinen festen Platz im Kristallgitter und
konnen unter dem EinfluB der Warmebewe- . . +1.-
gung in das p-Material diffundieren, wo zwar 1 1 1 1
keine entsprechenden Kernladungen, aber die
Platze im Kristallgitter vorhanden sind. Da- /—Z + 1
durch entsteht im stromlosen Zustand am Rand ;|; EIek-
der Sperrschicht im p-Material eine negative - + Strom 1
und im n-Material eine positive Raumladung
(Bild 2-48 a).
Eine zwischen n- und p-Material angelegte ne- Bild 2-48. Aufbau einer Diode.
gative Spannung vergroBert diese Raumladun-
gen auf beiden Seiten: das elektrische Feld wendeten Elemente und ihre Konzentration die
drangt die Ladungstrager aus der Sperrschicht, elektrischen Daten erheblich. Mit zunehmender
Storstellenkonzentration wird der Halbleiter
und der StromfluB ist weitgehend unterbrochen
niederohmiger, da mehr Ladungstrager vor-
(Bild 2-48 b). Minoritatstrager, das sind Elek-
handen sind. Gleichzeitig sinkt die maximale
tronen in der positiven und Locher in der nega-
Sperrspannung, da im starker dotierten Halb-
tiven Raumladungszone, werden vom elek-
leiter auch in Sperrichtung eher Ladungstrager
trischen Feld durch die Sperrschicht getrieben
aktiviert werden als in einem schwach dotierten
und verursachen den Reststrom in Sperrich-
Material. Die Gleichstromkennlinien in Bild
tung. 2-50 weisen auf diesen Zusammenhang hin, wo-
Liegt eine positive Spannung zwischen p- und bei nur einige Diodentypen dargestellt sind.
n-Material (Bild 2-48 c), dann unterstiitzt das
elektrische Feld die aus Bild 2-48 a bekannte
Diffusion der Elektronen, und der Strom steigt 2.5.1 Schaltdioden
exponentiell mit der angelegten Spannung an Schaltdioden sind schnelle Dioden mit kleiner
(Gl. (1-170)). Leistung. Liegt innerhalb der Schaltung an der
Fiir die vielen verschiedenen Anwendungsbe- Diode eine Spannung in Durchlafirichtung an,
reiche wurden unterschiedliche Diodentypen dann ist die Diode niederohmig und sie leitet
entwickelt. Bild 2-49 zeigt eine tjbersicht. Die den Strom und das Signal weiter. Ist die
elektrischen Eigenschaften hangen ab von der Diodenspannung in Sperrichtung gepolt, dann
Geometric der Diode, d. h. von der Flache der sperrt die Diode den Strom und das Signal.
Sperrschicht, ihrer moglichen Dicke und der Diese Dioden lassen sich in groBer Stuckzahl
Art der Kontaktierung sowie von der Dotie- preisgunstig herstellen und vielfaltig einsetzen.
rung. Bei der Dotierung beeinflussen die ver- Sie werden deshalb auch Universaldioden ge-
136 2 Passive Bauelemente

Abschnitt 2.5.1 2.5.2 2.5.3. 2.5.4 2.5.5 2.5.6

Diodentyp Schaltdiode Schottky- Gleichrichter- Schottky- Z-Diode Diac


diode diode Leistungsdiode

Schaltzeichen - ^ - ^
• ^ • ^ - ^ - ^

1 1 1
Ik Ik

Gleichstrom-
kennlinie

Nutz-
n '
• ^R

kennlinie,
schematisch

genutzter Ventil- Ventil- Ventil- Ventil- Zener- oder kontrollierter


Effekt wirkung wirkung wirkung wirkung Lawinen- Durchbruch
durchbruch

innerer pn Metall-n pn Metall-n pn pnp


Aufbau Silicium Silicium Silicium Silicium Silicium Silicium
(Germanium)

Frequenz- Gleichstrom Gleichstrom bis Gleichstrom Gleichstrom Gleichstrom Netzfrequenz


bereich Niederfrequenz Hochstfrequenz Netzfrequenz bis mittlere Nieder-
Hochfrequenz Niederfrequenz Frequenzen frequenzen

besondere schnell, klein, sehr schnell, hohe Sperr- sehr schnell, kontrollierter Kennlinie
Eigen- kleiner klein, spannung, kleine Sperr- Durchbruch in mit Bereichen
schaften Sperrstrom, kleine Durch- hoher Durch- spannung, Sperrichtung negativen
kleiner Durch- laBspannung laBstrom, hoher Durch- Widerstandes
laSwiderstand, niederohmig, laRstrom,
preisgijnstjg preisgijnstig kleine Verluste

Anwendungs- Universaldiode Hochfrequenz- Gleichrichter bei Gleichrichter Spannungs- Triggerdiode


bereich zum Schalten, gleichrichter, Netzfrequenz fiJr] bei hohen stabilisierung, zur sicheren
zum Begrenzen, Gleichrichter kleine und groBe Frequenzen, Spitzen- Ziindung bei
zum Entkoppeln,| mit kleiner Spannungen und hohen Stromen, spannungs- einfachen Triac-
fijr Logikschal Schleusen- Strome, auch fiJr aber kleinen begrenzung schaltungen zur
tungen spannung, Schaltregler bei Spannungen, Phasenanschnitt
schnelle Logik- hoheren Freilaufdiode steuerung.
schaltungen Frequenzen

Bild 2-49. Ubersicht uber Dioden und Gleichrichter.

a) Schaltdiode b) Gleichrichterdiode mit c) Z-Diode d) Backwarddiode e) Tunneldiode


kontrolliertem Durchbruch

/, /, 1 I i , I i I

\\ 1
J. J z LJ
J.
Uo Uo Uo 1 ^D
1 UD

Dotierung nimmt zu
Bild 2-50. Abnahme der Sperrspannung mit zunehmender Dotierung.
2.5 Dioden 137

2.5.7 2.5.8 2.5.9 2.5.10 2.5.11 2.5.12

Fotodiode Kapazitats- pin-Diode Step-Recovery- Tunneldiode Backward-


diode Diode Diode

rsr
[^
M ^r -^K -^H -^^r- \yi

|J- J.\l 1K Ui
/] li 1 1 /] I

1 '-f-
iI / R
_J
^
u

\c
u

,i log/?
u

iUI
rW U

li \
u

1 /A

1
i IR\

\.
\
\
\ (1^
'M I, U ''/} (J^\
/ ^ \ ^ ^ R logX/o
I
1
i
lichtstarke- spannungs- strom- der Sperrstrom Tunnel- Ventil-
abhangiger abhangige abhangiger endet abrupt effekt wirkung
Sperrstrom Sperrschicht- DurchlaB-
kapazitat widerstand
pn pn pin pn pn pn
pin Silicium Silicium Silicium Germanium Germanium
Metall-n Galliumarsenid hoch dotiert hoch dotiert
1 Silicium
Gleichstrom bis
Hochfrequenz Hochfrequenz

Sperrstrom Sperrschicht- DurchlaK- abrupt endende Kennlinie keine Schleusen-


abhangig von kapazitat ist widerstand ist Sperrverzogerung mit negativem spannung.
der Beleuchtung spannungs- stromabhangig, Sperrverzoge- Widerstands- sehr kleine Sperr-
der Sperrschicht. abhangig, rungszeit bereich spannung
Avalanche-Effekt hohe Giite hohe Giite typenabhangig

Messung der spannungs- stromgesteuerte Frequenzver- sehr schnelle Gleichrichter


Lichtstarke in gesteuerte analoge vielfacher Triggerdiode fiir sehr kleine
einem groKen Abstimmung von Dampfungs- bis in den GHz- Entdampfung Hochfrequenz-
Dynamikbereich, Schwingkreisen glieder fiJr Bereich mit von Schwing- spannungen
Datenempfanger fijr Frequenz- Hochfrequenz, sehr geringem kreisen,
am Ende einer filter. stromgesteuerte Aufwand Hochstfrequenz-
Glasfaserstrecke Synthesizer, Schalter fiir oszillator
Phasenschieber Hochfrequenz

Bild2-49. Ubersicht iiber Dioden und Gleichrichter (Fortsetzung).

nannt. Die wichtigsten typischen Daten sind: zwei Parameter wichtig sind, laBt sich aus den
Sperrspannung 50 V bis 100 V, DauerdurchlaB- Datenbiichern immer der passende Halbleiter
strom 50 mA bis 200 mA, Schaltzeiten zwischen finden.
2 ns und 20 ns. Die Reststrome sind meistens zu Die DurchlaBkennlinie entspricht der Eingangs-
vernachlassigen. kennlinie eines bipolaren Transistors (Bild 3-7).
Es gibt verschiedene Typen der Schaltdioden, Sie besitzt auch denselben Temperaturgang.
deren Eigenschaften fur den jeweiligen Anwen- Den Sperrstrom bei 25 °C Sperrschichttempera-
dungsfall optimiert sind. Die Verbesserung tur kann man fast immer vernachlassigen. Mit
einer Eigenschaft, beispielsweise eine sehr kurze zunehmender Temperatur steigt der Sperrstrom
Schaltzeit, verschlechtert im allgemeinen an- stark an. Erhoht sich die Sperrschichttempera-
dere Daten und kann zu einer Diode mit kleine- tur um 125 K, dann steigt der Reststrom unge-
rer Sperrspannung und einem hoheren Rest- fahr um den Faktor 1000.
strom fuhren. Da normalerweise nur ein oder Das elektrische Feld in der Sperrschicht be-
138 2 Passive Bauelemente

schleunigt und bewegt die Ladungstrager. rungszeit hangt vom Diodentyp und dem
Andert sich das Feld, dann andert sich die Leit- DurchlaBstrom ab und dauert 2 ns bis 100 ns.
fahigkeit der Sperrschicht erst, wenn die La- Dioden hahen kurzzeitig StoBstrome ipstoB a^s,
dungstrager ihre neue Lage haben. Der Strom die bis zum 50fachen des zulassigen Dauer-
folgt der Spannung nicht unmittelbar, sondern durchlaBstromes /p betragen konnen. MaB-
mit einer kleinen nichtlinearen Verzogerung gebend ist die Warmekapazitat des Halbleiter-
(Bild 2-51). chips sowie die Impulsdauer und -wiederhol-
a) MeBschaltung
rate. Die zulassigen StoBstrome sind den Da-
tenbuchern zu entnehmen.
1 1

Ai 1 'lo

i
Beispiel

(u U Uo 2.5-1: Ein Transistor wird aus drei verschiedenen


Quellen mit dem logischen Pegel 0 oder 1 angesteuert;

N-'^ f t die Signalquellen durfen sich gegenseitig nicht beein-


flussen. Die Eingangssignale sollen durch Schaltdi-
oden entkoppelt werden.
b) Spannungen und Strome an der Diode
Losung:
U i
In Bild 2-52 werden die verschiedenen Eingangssi-
gnale einer Logikschaltung durch Schaltdioden ent-
koppelt: Zwischen zwei Eingangssignalen liegen stets
t
zwei gegeneinander gepolte Dioden, die einen Strom-
fluB und damit eine Verkopplung verhindern.
UD 1

^D^ I
1^ L
s
|L
t

-^
r
'l[ , r -^ -CZZh

1r J
^rr
t
-^

Bild 2-51. Schaltverzogerung einer Diode. Bild 2-52. Entkopplung der Eingangssignale mit
Schaltdioden.
Bild 2-51 a zeigt die prinzipielle MeBschaltung.
Bild 2-51 b die Ansteuerspannung und den zeit- 2.5.2 Schottky-Dioden
lichen Verlauf des Diodenstroms. Beim Ein-
schalten liegt die voile Generatorspannung Schottky-Dioden (engl.: Schottky-Barrier-Di-
kurzzeitig in DurchlaBrichtung an der Diode odes) haben keinen pn-Ubergang sondern einen
an. Sobald geniigend Ladungstrager in der Metall-Halbleiter-Ubergang. Bild 2-53 a zeigt
Sperrschicht sind, sinkt die Spannung auf die den Aufbau, Bild 2-53 b die Wirkungsweise
normale DurchlaBspannung ab. Die erforder- einer Schottky-Diode im Sperrbetrieb und Bild
liche Zeit heiBt Einschaltverzogerungszeit (engl.: 2-53 c im DurchlaBbetrieb.
forward recovery time ffj; sie dauert 0,5 ns bis In Schottky-Dioden tragen nur Majoritdtstrd-
50 ns. Polt man die Spannung an der Diode um, ger zur Stromleitung bei. Wechselt das elek-
dann andert sich die Stromrichtung, und die trische Feld an der Sperrschicht die Richtung,
Diode verhalt sich wahrend der Sperrverzoge- dann bildet sich sehr schnell eine isolierende
rungszeit (engl.: reverse recovery time t^) wie Sperrschicht. Die Sperrverzogerungszeit t^^. ver-
ein ohmscher Widerstand. Wahrend dieser Zeit kiirzt sich auf 100 ps bis 10 ps (Picosekunden).
raumt das elektrische Feld die Ladungstrager Abhangig von ihrem Aufbau und der Kontak-
aus der Sperrschicht aus. Die Sperrverzoge- tierung eignen sich Schottky-Dioden bis zu Fre-
2.5 Dioden 139

a) Metall-Halbleiter-Ubergang

Metall

-1-
-1-

b) Metall-Halbleiter-Ubergang
in Sperrschicht vorgespannt
— .1-1.

11 i 1
E

Metall -f--h+ n

-I--I--J-
-I- + +

0,2 0,4 0,6 0,8


DurchlaBspannung U^ I M
1 c) Metall-Halbleiter -Ubergan 9
in DurchlaBricht ung vorg(^spannt Bild 2-54. Durchlafikennlinien von Signal-Dioden:
+ li 1 Schaltdiode mit pn-Ubergang; 2 Schottky-Diode;
1
1 1
r1 3 Zero-Bias-Detektordiode.

Metall haufig in einem Gehause erhaltlich. Durch das


Herstellverfahren weichen ihre Daten wenig von-
einander ab. Der gute Warmekontakt sorgt fiir
die gleiche Temperatur aller Dioden, so daB sich
Temperatureinfliisse weitgehend kompensieren.
Bild2-53. Aufbau und Arbeitsweise einer Schottky- Bild 2-55 a zeigt ein Schaltungsbeispiel fur einen
Diode. einfachen Hochfrequenzdemodulator, der aus
quenzen von 40 GHz. Wegen der hohen Schalt- einer Diode und einem Kondensator als TiefpaB
geschwindigkeit heiBen sie im Englischen auch besteht. Sinkt der Spitzenwert der HF-Span-
Hot-Carrier-Diodes. nung unter die Schwellspannung L/^ ^^^ Diode,
Die DurchlaBspannung einer Schottky-Signal- dann liefert der Demodulator keine Spannung
diode ist bei kleinen Stromen (0,1 mA bis 1 mA) mehr. Die Schaltung nach Bild 2-55b vermeidet
wesentlich kleiner (0,35 V bis 0,4 V) als bei diesen Nachteil: Hier flieBt der Vorstrom I^^
Sperrschichtdioden (0,6 V). Die hohen Arbeits- durch die Diode D^ und spannt sie um ihre
frequenzen erfordern ein System mit kleiner DurchlaBspannung U^^ vor. Der gleiche Strom
Kapazitat, die sich u. a. durch eine kleine aktive flieBt durch die Diode D2 und verursacht an ihr
Flache des Chips verwirklichen laBt. Dadurch ebenfalls die DurchlaBspannung 17D2 .
steigt der DurchlaBwiderstand der Diode und Wenn die Gleichstrome I^^ und /D2 und die
die Kennlinie ist weniger steil als bei einer pn- Temperatur beider Dioden gleich sind, kom-
Signaldiode, wie Bild 2-54 zeigt. pensieren sich die DurchlaBspannungen U^^
Schottky-Dioden finden als sehr schnelle Schalt- beider Dioden und der Demodulator arbeitet
dioden fiir Signal-Gleichrichter, Mischer und hnear, auch wenn die HF-Eingangsspannung
weitere Zwecke bei hohen und hochsten Fre- kleiner als die Diodenspannung U^^ ist.
quenzen Verwendung. Dioden bis 1 GHz wer- Der Widerstand R^ entkoppelt den Hochfre-
den in gangige Glasgehause, beispielsweise quenz fuhrenden Eingang vom hochfrequenz-
DO-35 Oder in SMD-Gehause, beispielsweise freien Ausgang. Bei R^ <^i^ fallen an i^^ 100 mV
SOD-80 Oder SOT-23 eingebaut. Dioden fur bis 200 mV ab. Die Diodenschwellspannung
hohere Frequenzen baut man in kleinere Ge- C/D ist nur dann kompensiert, wenn R^=R2 ist.
hause mit kurzen, breiten und deshalb induk-
tionsarmen Zuleitungen ein oder direkt als CHip
in eine Schichtschaltung. Werden fiir eine Schal- 2.5.3 Gleichrichterdioden
tung mehrere Dioden benotigt, so sind diese Gleichrichterdioden dienen als Ventil und rich-
140 2 Passive Bauelemente

a) Einfache Demodulatorschaltung
Vollbrlicken bestehen aus Einzeldioden, die zu-
sammengeschaltet und in einem mit GieBharz
^\-^ ausgefullten Gehause eingebaut sind. Metal-
U,
lische Gehause oder metallische Montageflan-
Tabelle2-13. Gebrauchliche Gehause fiir
Gleichrichter.
b) HF-Demodulatorschaltung mit unterdruckter Gehause ^FAV max
Schwellspannung UQ der Diode D
>A
+ ^R DO-15 Plastik 0,5
DO-204 Glas 1
SMD (Plastik) 3

Eingang Q V ^D
H\^ DO-4 Schraubgehause 6
DO-5 Schraubgehause 30
TO-220 (Plastik) 2- 5
rv^ SOT-93 (Plastik) 2- 15
SOT-227B (Plastik) 2-30
Ausgang ^5cr '

a) Do-5(Do-203AB) b) Do-4(Do-203AA)

Bild 2-55. Hochfrequenz-Demodulatorschaltung.


1 ^ p
I I
ten Wechselstrome in eine gleiche Richtung. Mit
^h 3 7
fG T
ihnen werden Wechselspannungen moglichst
verlustarm in Gleichspannungen umgeformt.
^^^r
fe^«
^ !> ^^|r|
1
Gleichrichter sollen mehrere, teilweise einander tl m
widersprechende Forderungen erfullen: Bild 2-56. Diodengehause.
• Niedrige FluBspannung Up, auch bei niedri-
gen Kristalltemperaturen ^ j , um die Durch- sche von Plastikgehausen haben in der Regel
laBverluste P^ kiein zu halten. Kathodenpotential, da die Kathode, mit Aus-
• Hohe Sperrspannung C/R. nahme von Dioden mit axialen Drahtanschlus-
• Niedriger Sperrstrom /^. sen, auf einem metallischen Trager aufgelotet
• Hohe StoBstromfestigkeit (Surge Current) ist. Liegt die Anode am Gehause, dann ist dies
^FSM- bei Dioden, die nach dem Pro Electron Schliis-
• Schnelles Ein- und Ausschalten (t^^) beim sel gekennzeichnet sind, durch den Zusatz R in
Gleichrichten von Wechselspannungen mit dem Typenaufdruck gekennzeichnet. R steht fiir
Frequenzen f> 50 Hz. reverse, der engUschen Bezeichnung fur invers.
Gleichrichterdioden sind als Einzelelement, Beispielsweise lautet eine Typenbezeichnung
Doppeldiode und als Vollbriicke im Handel. BYX 25/600 und fiir den invers gepolten Typ
Tabelle 2-13 gibt einen Uberblick iiber die am BYX 25/600 R. Doppeldioden haben in der Re-
haufigsten verwendeten Gleichrichtergehause. gel eine gemeinsame Kathode, da beide Gleich-
Gleichrichter und Dioden werden zunehmend in richterkristalle auf einem gemeinsamen Trager
Plastikgehause zum Aufloten auf die Leiterplatte sitzen.
eingebaut. GroBflachige Lotanschlusse dienen Die Sperrstrome I^ von bipolaren Silicium-Di-
auch der Warmeabfuhr liber die Leiterplatte. oden sind vergleichsweise niedrig, sie diirfen
In Bild 2-56 sind zwei typische Diodengehause aber nicht vernachlassigt werden, da sie zusatz-
(DO-5 und DO-4) dargestellt. liche Verluste verursachen.
2.5 Dioden 141

Tabelle2-14. DurchlaBspannungen von Leistungsdioden.

Typ Durchla6spannung/V bei /p Sperrstrom


Technologic/
Verwcndung /AV/A lOA 20 A 30 A 50 A -^R(125°C)/^A

BYX99/XX 15 1,1 1,25 1,45 1,55 0,2


Nctzglcichrichtcr (L/R = 8 0 0 V )

MR 1120 12 1,0 1,1 1,15 1,25 j


Nctzglcichrichtcr

BYV24/XX 14 1,5 IJ 1,9 2,1 1,5


schnellc Diode (L/R = 8 5 0 V )

BYV79/XX 14 0,9 1,1 1,15 1,35 0,05


Epitaxial-Diode (t/R = 200V)

I N 5812 20 0,86 0,95 - 1,1 0,75


Epitaxial-Diode

Die Durchlafispannungen Up von Gleichrichter- • Schnelle Gleichrichterdioden, beispielsweise


dioden erreichen C/p > 2 V. Bemerkenswert ist, zur Verwendung in getakteten Stromversor-
daB die schnellen aber niedrig sperrenden Epi- gungen.
taxial-Gleichrichterdioden, wie in der Tabelle
2-14 dargestellt, die niedrigsten Durchlafispan- 2.5.3.1 Netzgleichrichter
nungen aufweisen.
Gleichrichter-Schaltungen fiir Wechselspannun-
Um Verlustleistung zu sparen, kann eine recht gen mit / = 50 Hz bestehen meistens nur aus
teure Epitaxial-Gleichrichterdiode besser geeig- den Gleichrichtern selbst und einem Elektro-
net sein, obwohl man deren Geschwindigkeit lytkondensator (Bild 17-6). Da der Kondensa-
nicht benotigt. Beim Einschalten einer Diode tor in jedem Halbzyklus (t = 10 ms) teilweise
tritt eine hohere Spannung, die sogenannte Ein- entladen wird, ergibt sich bei jedem Maximum
schaltuberspannung (engl.: forward recovery der Spannung ein hoher Spitzenstrom. Es ist
voltage) auf. der periodische Spitzenstrom /FRM- Der Her-
Das Epitaxie-Verfahren geht von einem hoch- steller gibt den Wert des periodischen Spitzen-
dotierten und deshalb niederohmigen Silicium- stroms /pRM ^11? i^it dem eine Gleichrichter-
substrat aus. Gasformige Siliciumverbindun- diode belastet werden darf. Der Spitzenstrom
gen, beispielsweise SiC^, werden zu Silicium /pRM darf je nach Diodentyp bis zu 22mal hoher
reduziert, das als einkristalline Schicht auf- als der Mittelwert /^v sein. Zur Ermittlung der
wachst und die aktive Schicht bildet. Ihre in einem Gleichrichter verbrauchten Leistung
Starke und ihre Dotierung richtet sich nach den ist der Effektivstrom /pRMs mafigebend. RMS
erwiinschten Eigenschaften des Halbleiters; die steht fiir root mean square, der enghschen Be-
Giite der aktiven Schicht wird nicht durch eine zeichnung fiir die Quadratwurzel bzw. den Ef-
hochohmige Tragerschicht verschlechtert (s. fektivwert.
Bild 2-69).
Betreibt man Gleichrichterschaltungen direkt
Die Gleichrichterdioden kann man nach der vom 230-V-Netz, dann ist der Diodenstofistrom
Art ihrer Anwendung voneinander unterschei- /psM (FSM, engl.: Foreward Surge Maximum)
den: zu beriicksichtigen. Werden beim Einschalten
• Gleichrichter fur ein- oder mehrphasige eines Gerates die beispielsweise noch leeren
Wechselspannung mit 40 Hz < / < 400 Hz. Elektrolytkondensatoren aufgeladen, so mufi
Bei 230 V spricht man von Netzgleichrich- entweder der Innenwiderstand der speisenden
tern. Quelle (Netz oder Transformator) ausreichend
142 2 Passive Bauelemente

hoch sein, oder ein entsprechender Widerstand ten Dioden unterschiedlich sein konnen, teilen
ist vorzuschalten, um den DiodenstoBstrom sich die Sperrspannungen undefmierbar auf
/psM? ^uch /pstoB genannt, zu begrenzen. Die und fuhren zu einer Zerstorung der Dioden.
Angabe des sogenannten Grenzlastintegrals AuBerdem muB man die sich dynamisch
Ims andernde Sperrschichtkapazitat der Dioden
J Pdt dient zur Dimensionierung einer Si- durch Parallelkondensatoren Cp iiberbriicken.
cherung als KurzschluBschutz. Das Grenzlast- Keine Schutzbeschaltung ist erforderlich, wenn
integral der Sicherung muB kleiner sein als Avalanche-Dioden verwendet werden.
das des Gleichrichters. Als Integrationszeit ist Avalanche-Dioden oder Controlled-Avalanche-
t = lms ausreichend. Dioden werden solche Gleichrichter genannt,
Die Sperrspannung ist eine wichtige GroBe der die ein kontrolliertes Lawinen-Durchbruchver-
Diode oder des Gleichrichters. In Datenblat- halten aufweisen. Man spricht auch von einem
tern unterscheidet man oft zwischen mindestens kontrollierten Lawinendurchbruch (engl.: con-
zwei verschiedenen Sperrspannungen. Die trolled avalanche). Sie konnen im Durchbruch
hochstzulassige periodische Scheitelspannung ist betrieben werden, solange die in den Datenblat-
^RWM ^^^ die hochstzulassige periodische Spit- tern angegebenen Sperrverlustleistungen PR
zensperrspannung ^/RR^ oder die hochstzulas- nicht liberschritten werden. Beispielsweise darf
sige Gleichsperrspannung U^. Bei Dioden, die man die Substratdiode moderner MOSFET-
im Avalanche-Durchbruch betrieben werden Leistungstransistoren auch im Avalanche-
diirfen, gibt man anstelle von 1/RRM nur den Durchbruch betreiben (Abschn. 3.4.9). Fiir
Wert fur [/R an. Die Indizierung RWM ist die Controlled-Avalanche-Dioden werden in den
Abkiirzung fur die engHschen Ausdriicke Re- Datenblattern folgende zusatzliche Angaben
verse (inverse), Working (Arbeit) und Maximum gemacht:
und RRM bedeutet Repetitive (sich wiederho-
Mittelwert der hochstzulassigen Sperrverlust-
lend) Reverse Maximum. Die hochstzulassige leistung PRAV5 hochstzulassige periodische Spit-
periodische Scheitelspannung 1/RWM reicht bis zen-Sperrverlustleistung PRR^ und die hochst-
zu 1200 V, wahrend die hochstzulassige peri- zulassige StoB-Sperrverlustleistung PRSM- Die
odische Spitzensperrspannung 1/RRM sogar bei periodische Sperrverlustleistung gilt fur die
1600 V liegt. Netzfrequenz / = 50 Hz. Die Spitzen- und die
Die hochstzulassige periodische Spitzensperr- StoB-Sperrverlustleistung gelten fur Zeitinter-
spannung C/RRM ist die Spitzenspannung, die bei valle von 10 |is.
sinusformiger Eingangsspannung am Gleich- Eine Parallelschaltung von Gleichrichtern sollte
richter auftreten darf. Die dem Sinus eventuell man vermeiden, da diese nur mit Einschran-
iiberlagerten kurzzeitigen Spannungsspitzen kungen und mit relativ hohem Aufwand mog-
diirfen den fiir die periodische Spitzensperr- Hch ist; denn dafiir ist eine elektrische und ther-
spannung L/RRM gegebenen Wert nicht iiber- mische Symmetrierung, also eine gleichmaBige
schreiten. Dabei sind Spannungsspitzen mit Stromaufteilung und thermische Kopplung, er-
einem Tastverhaltnis von J < 0,01 zulassig. forderhch. Da eine vollstandige Symmetrierung
Die periodische Spitzensperrspannung ^/RRM kaum moghch ist, sollte man den Summen-
sollte man beispielsweise auch beim Abschalten strom um etwa 20% verringern und den Di-
von unbelasteten Transformatoren nicht iiber- odenstoBstrom /psM sogar halbieren.
schreiten. Zur Bedampfung sind dem Transfor- An die Sperrerholzeit r„ (rr: engl.: reverse re-
mator i^C-Glieder parallel zu schalten. Da Di- covery, d. h. inverse Erholung) von Netzgleich-
oden mit kontrolliertem Durchbruchverhalten in richtern werden keine besonderen Anspriiche
der Lage sind, die Sperrverlustleistung PRS^ auf- gestellt. Deshalb wird fur Dioden, die zur
zunehmen, brauchen diese entsprechend weni- Gleichrichtung von niederfrequenten und sinus-
ger bedampft zu werden. formigen Spannungen bestimmt sind, die Sperr-
Die Serienschaltung von Gleichrichtern erfor- erholzeit ^rr in der Regel auch nicht vorgeschrie-
dert SchutzmaBnahmen gegen die Uberspan- ben. In der Praxis haben solche Dioden Sperr-
nung. Normale Gleichrichterdioden darf man erholzeiten von t^ ^ 2 |is. Obwohl Gleichrich-
nur mit einer Schutzbeschaltung in Reihe schal- terdioden relativ langsam sein diirfen, ist in der
ten. Da die Sperrstrome /R in jeder der beteihg- Praxis darauf zu achten, daB die Dioden
2.5 Dioden 143

wahrend ihrer Sperrerholzeit keinen abrupten


StromabriB haben, da dieser im Zusammenwir-
ken mit den Schaltungsinduktivitaten L zu un- Vv-dlp/d t

erwunschten Uberschwingungen entsprechend


I/L = — L • di/dt fuhrt.
2.5.3.2 Schnelle Gleichrichterdioden t
O
Um einen hohen Wirkungsgrad zu erreichen,
benotigen beispielsweise getaktete Stromver-
sorgungen Gleichrichterdioden, die vor allem in
der Lage sind, schnell auszuschalten. Bei schnel-
u, I
len Gleichrichtern werden zwei Arten unter-
schieden: Die Planartypen mit Sperrverzugs-
zeiten t^r > 150 ns und mit Sperrspannungen ^'^\

1 N} '
(7R < 1300 V und die Epitaxialtypen mit
trr ^ 75 ns. Die Sperrspannungen 1/R dieser Di-
odentypen sind in Tabelle 2-15 angegeben. UR
Das angelsachsische Schrifttum bezeichnet die
„schnellen" Planartypen meist als Fast Re-
covery Rectifiers, wahrend die Epitaxialtypen Bild 2-57. Sperrverzugsladung einer Gleichrichter-
Ultrafast Recovery Rectifiers genannt werden. diode.

Tabelle 2-15. Sperrverzugszeiten und Sperr- dagegen ist der sehr abrupte StromabriB von
spannungen von schnellen Epitaxial-Gleich- Step-Recovery-Dioden gewollt. Gleichrichter-
richterdioden. dioden sollen aber bis zu dem Zeitpunkt, zu
dem die Diode endgiiltig sperrt, einen weichen
^R<V 200 500 800 Stromanstieg, sofi recovery genannt, aufweisen.
t„/ns 25 50 75
Hat die Diode ein snap-off-Verhalten, dann
kommt es, im Zusammenwirken mit Schal-
tungsinduktivitaten Ls, wahrend der Sperr-
Sperrerholzeit t^^. erholzeit zu unerwiinschten und hochfrequen-
Das Verhalten der Gleichrichterdioden wah- ten ( / > 5 MHz) Uberschwingern. Diese miis-
rend ihres (jbergangs vom leitenden in den ge- sen, um die zulassige Sperrspannung einzuhal-
sperrten Zustand ist vor allem in getakteten ten und Funkstorungen zu unterdriicken, durch
Stromversorgungen von iiberragender Bedeu- RC-Glieder bedampft werden.
tung. Das Sperrverhalten wird durch die Sperr- Die Sperrverzogerungszeit r^r wird auch Sperr-
verzugsladung Q^ der Diode, wie sie im Bild verzugszeit oder RUckwdrtserholzeit genannt.
2-57 dargestellt ist, bestimmt. Die Sperrverzugs- Sie ist als die Zeitdauer definiert, die vom Null-
ladung gft ist durch die Flache dargestellt, die durchgang der Abschaltflanke —dip/dt bis zu
im Sperrbereich der Diode vor dem endgultigen dem Punkt reicht, an dem die positive Flanke
Abschalten, von der Flanke —dl^/dt und der d/^/dt auf 10% des Spitzensperrstroms 7^^ ab-
wieder ansteigenden Flanke dl^/dt begrenzt geklungen ist. Die Sperrverzogerungszeit r^r ist
wird. von der Temperatur ,9j, dem Strom /p, der un-
Die negative Flanke — d/p/df wird entweder mittelbar vor dem Umpolen in Vorwartsrich-
beim Betrieb als Gleichrichter, durch die Induk- tung durch die Diode floB, und der Anderungs-
tivitaten der Schaltung, oder als Freilaufdiode geschwindigkeit —dl/dt des Stroms abhangig.
arbeitend, durch die Stromanstiegsgeschwin- Die Schaltgeschwindigkeit {t^^) des verwende-
digkeit des Schalttransistors bestimmt. ten Transistors bestimmt hauptsachlich die
Die positive Flanke dl^^^/dt ist eine Eigenschaft Stromanderungsgeschwindigkeit — d//dr der
der Diode selbst. Wahrend der Flanke dl^/dt Freilaufdioden.
reifit der Strom ab. Bei Gleichrichterdioden ist Die Bedeutung der Sperrverzogerungszeit t^^
ein sehr ahrupter Stromahrifi (engl.: snap-off) soil anhand einer typischen Gleichrichterschal-
nicht gewunscht. In Hochfrequenzschaltungen tung, wie der in Bild 17-13 (Abschn. 17.3.2.3)
144 2 Passive Bauelemente

dargestellten Stromversorgung, verdeutlicht Einschaltiiberspannungen werden niedrig ge-


werden. Solange der Transistor T gesperrt ist, halten, wenn man die Sperrspannung der ver-
flieBt der von der Drossel L gespeiste Strom I^ wendeten Diode nicht unnotig hoch wahlt.
durch die sogenannte Freilaufdiode Dl. Schaltet AuBerdem haben schnelle Epitaxialdioden eine
man nun den Transistor T ein, dann flieBt durch kleinere Einschaltuberspannung als Dioden, die
die Diode Dl solange der inverse Strom 7^^? t)is in anderer Technologic hergestellt werden.
die Sperrverzogerungszeit t„ abgelaufen ist.
Wahrend dieser Zeit ist die Sekundarseite des
Transformators fast kurzgeschlossen und der 2.5.4 Schottky-Leistungsdioden
Strom v^ird durch Streuinduktivitaten L^ oder Schottky-Dioden haben auch die Bezeichnung
den Transistor selbst begrenzt. Die dabei im Schottky-Barrier-Dioden oder Hot-Carrier-Di-
Transistor entstehenden Verluste konnen er- oden. Da man sie in getakteten Stromversor-
heblich sein. gungen anstelle von Epitaxial-Dioden einsetztj
Die fur schnelle Gleichrichter in den Datenblat- sind zunachst einige praxisbezogene Aussagen
tern nach JEDEC-Testbedingungen angegebe- wichtig. Als Gleichrichter oder Freilaufdiode
nen Sperrverzogerungszeiten sind in der Praxis sind sie fiir eine Ausgangsspannung U^ = 5Y ge-
unbrauchbar, da die MeBbedingung nicht den eignet, wenn bei natiirlicher Konvektionskiih-
tatsachlichen Betriebsfall der Diode nachvoU- lung die Umgebungstemperatur 7^ den Wert
zieht. Nach JEDEC wird unter folgenden Be- TA < 65 °C nicht ubersteigt. Ist das Verhaltnis
dingungen gemessen: von minimaler zu maximaler Eingangsspan-
- FluBstrom /p = 1 A, nung C/Emin/^Emax eiucr pulsbreitengeregelten
- Stromanderungsgeschwindigkeit Stromversorgung U^^JU^^^^<2, dann eig-
d//dt = -15A/|is, nen sich Schottky-Dioden auch fiir hohere Aus-
- Sperrspannung C/R = — 30 V. gangsspannungen.
Die unter realistischen Bedingungen ermittelten Da Schottky-Dioden keinen pn-tjbergang, also
Werte sind erheblich schlechter, da die tat- auch keine Minoritatstrager und kaum gespei-
sachlichen Strom-Anderungsgeschwindigkeiten cherte Ladung haben, konnen diese sehr schnell
100 A/|is < d//dt < 400A/}is betragen konnen, schalten. Weitere Vorteile sind:
vor allem wenn ein MOSFET als Schalter - kleine FluBspannung (Up > 0,3 V),
dient. Sind fur die Sperrverzugsladung QR Da- - Gleichrichter fur hohe Strome /^y ^ ^^ A.
tenblattv^erte genannt, die unter reaUstischen Einschrankungen ergeben sich aus folgenden
MeBbedingungen ermittelt wurden, dann kann
Eigenschaften:
man die in der Freilaufdiode zusatzlich auftre-
tenden Verluste Pv(rr) errechnen: - kleine Sperrspannungen U^ = 45 V, Ausnah-
A^(rr) = GR^R/S» wobei /g die Schaltfrequenz men bis U^ < 100 V,
ist. - hohe Sperrstrome JR < 350 mA bei L/R = 45 V
und^j = 125°C,
Vorwartserholzeit tf^. - hohe Kapazitat C^>1 nF,
- eingeschrankte Spannungs-Anstiegsgeschwin-
Beim Einschalten einer schnell sperrenden Di- digkeitdw/dt<1500V/|iS,
ode tritt fur die Vorwartserholzeit t^^ (engl.: for-
- maximale Kristalltemperatur ^j < 125 °C.
ward recovery time) eine hohere Spannung, die
sogenannte Einschaltuberspannung (engl.: for- Der hohe Sperrstrom einer Schottky-Diode er-
ward recovery voltage), auch Einschalt-Scheitel- fordert eine sehr sorgfaltige Auslegung des
spannung genannt, auf. Sie kann deutlich uber Kiihlkorpers, um ein thermisches Driften und
der statischen FluBspannung (7p liegen und ist damit eine Zerstorung zu vermeiden.
um so hoher, je groBer die Sperrspannung U^ Vor der Verwendung von Schottky-Dioden ist
der betreffenden Diode, der ihr eingepragte zu priifen, ob sich in der vorgesehenen Schal-
Strom /p und dessen Anstiegsgeschwindigkeit tung und unter Beriicksichtigung der genann-
d//dt sind. Eine schnell auf die Diode geschal- ten Einschrankungen, Vorteile gegeniiber einem
tete Spannung bewirkt wahrend der Vorwarts- Einsatz von Epitaxial-Dioden ergeben. Einige
erholzeit tfr ^i^^ Verzogerung des Stroman- wichtige Daten einer Auswahl von Schottky-
stiegs. Dioden sind in Tabelle 2-16 dargestellt.
2.5 Dioden 145

Tabelle2-16. Daten von Schottky-Dioden.

Typ ^RWM/* IJmA ^F/V /F/A Gehause Bemerkungen

Tj = 125°C

MBR10100 100 150 0,7 10 TO-218AC

19TQ015 15 210 0,3 17 TO-220

BYV 39/45 45 55 0,6 15 TO-220

BYV 73/45 45 100 0,6 15 SOT-93 Doppeldiode

MBR 3045 T 45 100 0,6 20 TO-218AC Doppeldiode

SD41 35 125 0,55 30 DO-203AA DO-4

SD51 35 200 0,6 60 DO-203AB DO-5

BYV 23/45 45 350 0,55 70 DO-5

2.5.5 Z-Dioden gang wird als Zenereffekt bezeichnet und ist im


Prinzip eine Feldemission im Innern des Kri-
Z-Dioden, friiher Zener-Dioden (nach C M . stalls.
ZENER, geb. 1905), sind verhaltnismaBig stark
dotierte Dioden, die in Sperrichtung betrieben Oberhalb 5V verursacht der Lawinen- oder
werden. Sie verhalten sich im DurchlaBbereich Avalancheeffekt einen ahnHch sprunghaften
Anstieg des Stroms bei der Arbeitsspannung.
und im Sperrbereich unterhalb der Zenerspan-
Einzelne, beispielsweise durch Feldemission
nung wie normale Siliciumdioden. Beim Errei-
freigesetzte, Elektronen werden in dem hohen
chen der Arbeitsspannung C/^ steigt der Sperr-
elektrischen Feld im Halbleiterkristall be-
strom stark an und muB auBerhalb der Z-Diode
schleunigt und schlagen immer mehr Elektro-
begrenzt werden (Bild 2-58).
nen heraus. Dadurch fiillt sich die Sperrschicht
IQ / mA A mit Ladungstragern, so daB der Strom in der
jetzt niederohmigen Sperrschicht lawinenartig
ansteigt. Z-Dioden mit mehr als 15V Arbeits-
-2 spannung arbeiten nach dem Lawineneffekt,
H—— zwischen 4V und 15 V ist der Ubergang flic-
Bend.
Der Zener-Effekt verursacht eine Durchbruch-
+-20 spannung mit einem negativen Temperaturkoef-
fizienten {TK ungefahr —5 • 10"V^^)? wahrend
der Lawineneffekt einen positiven Temperatur-
-40 koeffizienten (ungefahr 10 • 10" V K ) hat. Bei 5 V
Durchbruchspannung sind beide Effekte gleich
stark, der TK ist ungefahr null.
Bild 2-58. Kennlinie einer Z-Diode. Bild 2-59 zeigt die Kennlinien verschiedener Z-
Dioden in einem Diagramm. Der differentielle
Der plotzliche Stromanstieg hat zwei Ursachen. Widerstand ist bei Dioden mit Arbeitsspannun-
Bei Dioden mit kleinen Arbeitsspannungen Uj^ gen C/z zwischen 6 V und 9 V ein Minimum, er
zwischen 2,7 V und 5V losen sich im Kristall steigt jedoch mit kleiner oder groBer werdender
gebundene Elektronen durch die hohe Feld- Durchbruchspannung an. Der Sperrstrom nach
starke (20V/|im) und bewegen sich als freie dem Zenereffekt setzt langsam ein, der Lawi-
Ladungstrager in der Sperrschicht. Dieser Vor- nendurchbruch dagegen schnell und verursacht
146 2 Passive Bauelemente

Zenerspannung U-^ I y Eine Sonderbauform der Z-Dioden sind die


-12 -10 -8 -6 -4
Suppressor-Dioden. Sie verhalten sich v^ie Lei-
stungs-Z-Dioden, die einer gestorten Gleich-
spannung parallel geschaltet werden, durch die
Wahl ihrer Arbeitsspannung aber normaler-
weise stromlos sind. Treten kurzzeitige und
hohe Spannungsspitzen auf, beispielsweise von
einem elektromechanischen Generator, dann
kann die Suppressor-Diode diese Spannungs-
spitzen zusammen mit dem Innenwiderstand
der Spannungsquelle auf ungefahrliche Werte
begrenzen. GroBe Suppressor-Dioden konnen
5,6 3,9 2,7 V
4,7 3,3 wahrend eines 1 ms dauernden Impulses eine
Leistung von 25 kW aufnehmen. Voraussetzung
Lawinen- oder Avalanchedurchbruch Zenerdurchbruch ist ein groBes Halbleiterelement und ein gleich-
Bild2-59. Kennlinien verschiedener Z-Dioden. maBiger StromfluB durch die Sperrschicht.
Suppressor-Dioden sind extrem schnell, ihre
einen scharfen Knick der Arbeitskennlinie. Die Schaltzeit liegt im ns-Bereich. Sie konnen des-
schwarzen Kurven fiir 25 °C und die roten fur halb Storspitzen mit sehr kurzer Anstiegszeit
125 °C zeigen die Abhangigkeit des Tempera- ableiten. Suppressor-Dioden stellt man in Epi-
turkoeffizienten von der Durchbruchspannung taxietechnik her.

Bild 2-60 gibt eine einfache Stabilisierungs- 2.5.6 Diac-Triggerdioden


schaltung mit einer Z-Diode wieder. Die klein-
ste Spannung U^ muB groBer als die stabili- Diacs sind stark dotierte symmetrische Drei-
sierte Spannung U^ sein; die Differenz U^ — U^ schicht-Halbleiterdioden mit definiertem Durch-
fallt am Vorv^iderstand Ry ab. Steigt die Ein- bruchverhalten. Diac ist ein Kunstwort aus
gangsspannung an, dann steigt die Ausgangs- der engUschen Bezeichnung Diode Alternating
spannung U^ wenig, der Strom in der Z-Diode Current Switch. Bild 2-61 a zeigt den Aufbau
aber stark an. Der zusatzliche Strom im Vor- und Bild 2-61 b die Kennlinie des Diac.
widerstand Ry flieBt in die Z-Diode. Ry muB so Liegt eine Spannung am Diac an, dann v^ird
klein sein, daB bei der niedrigsten Eingangs- immer ein pn-Ubergang in DurchlaB-, der
spannung noch Strom in der Z-Diode flieBt. Bei
der hochsten Eingangsspannung ist auf die Ver-
lustleistung in Ry und der Z-Diode zu achten.

n 1
O 1 I '

W 2
\
O ( 1 5
C

Bild 2-60. Einfache Schaltung zur Spannungsstabili-


sierung.

Z-Dioden benutzt man wegen ihres Tempera-


turgangs und ihres Innenwiderstandes heute
kaum noch als Spannungsreferenz, hierzu die-
nen Band-gap-Referenzelemente (Abschn. 8.5.3,
Analoge Integrierte Schaltungen). Z-Dioden
eignen sich aber gut als Spannungsbegrenzer
innerhalb und an den Schnittstellen einer Schal-
tung. Bild 2-6L Aufbau und Kennlinie eines Diac.
2.5 Dioden 147

andere in Sperrichtung betrieben. Dabei flieBt wieder stromlos. Diacs sind im Rahmen der
nur ein kleiner Sperrstrom / < 100 jaA, weshalb Herstellgenauigkeit symmetrisch aufgebaut;
die Diode hochohmig ist. Erreicht die angelegte deshalb ist ihre Wirkung von der Polaritat der
Spannung die Durchbruchspannung Uj^, dann angelegten Spannung unabhangig.
wird die Diode niederohmig, und der Strom Bild 2-62 a zeigt eine Phasenanschnittsteuerung
steigt stark an, wahrend die Spannung um etwa zur Leistungsregelung mit einem Triac. Der ver-
5 V absinkt. anderbare Widerstand R^ und der Kondensator
Diacs schalten die Verbindung zwischen einer Ci bilden einen Phasenschieber, so daB man
Spannungsquelle mit kontinuierlich ansteigen- den Nulldurchgang der Kondensatorspannung
der Spannung, beispielsweise dem an der Netz- JJQ^ am Regelwiderstand R^ gegen den Null-
frequenz liegenden Kondensator C2 in Bild 2-62 durchgang der Netzspannung verschieben
als Quelle und dem TriggeranschluB des Triacs kann. Der Widerstand R2 und der Kondensator
als Verbraucher. Ziindet der Diac, dann flieBt C2 dienen nur der Entkopplung des Phasen-
ein kurzer definierter Stromimpuls in den Trig- schiebers vom Triggerkreis. Erreicht der Kon-
geranschluB (Zundelektrode) des Triac und die- densator C2 die Durchbruchspannung Uj) des
ser schaltet sicher ein. Diac, dann ziindet dieser, und ein Stromimpuls
flieBt aus dem Kondensator C2 in den Trigger-
Wiirde statt dessen die Zundelektrode direkt
anschluB des Triacs. Bild 2-62 b zeigt die Netz-
vom Kondensator C2 gespeist, dann wurde der
spannung, die Spannungen an den Kondensato-
Triac von der langsam ansteigenden Steuer-
ren C^ und C2 des Phasenschiebers und den
spannung nicht sicher geziindet. Triggerimpuls. Das TiefpaBfilter aus der Dros-
Unterschreitet die Spannung am Diac die Hal- sel L und dem Kondensator C verlangert die
tespannung 1/^ (etwa 20 V), dann wird der Diac Schaltzeiten an der Last und verringert damit
die hochfrequenten Storungen. Diacs sind
Steuerelemente mit kleiner Verlustleistung von
100 mW bis 200 mW und entsprechend kleinen
Glas- Oder Kunststoflgehausen.
Triac
Diac
5,6 k ^ 2.5.7 Fotodioden
I ^c2T<^2j"22nF 7 " 0,1 juF
Die in einem Halbleiter gebundenen Ladungs-
trager konnen durch die Energiezufuhr des
Last- Tiefpad Triac Steu6>rteil
wider- zum zum Trigger- 1 Phasen- Lichts aus dem Kristafl gelost und zu freien
stand Entstoren Schalten schaltung 1 schieber Ladungstragern werden. Dazu muB die Energie
der Lichtquanten (Photonen) Ep^ = hf groBer
b) Spannungs- und Stromverlauf
als die Bindungsenergie der Ladungstrager im
Kristall sein. Dabei ist h das Plancksche Wir-
kungsquantum {h = 6,626 • 10" ^""^Js) und / die
Frequenz des Lichts in Hz. Wird die Frequenz
zu klein, d. h. die Wellenlange zu groB, dann
wird der Halbleiter trotz hoher Lichtintensitat
nicht mehr beeinfluBt, woraus sich das meist
abrupte Ende der spektralen Empfindlichkeit
erklart.
Dieser Fotoeflekt funktioniert im Prinzip bei
jedem pn-Ubergang, bei Fotohalbleitern fordert
man ihn jedoch gezielt durch Aufl^au und Do-
^Tri
tierung. Die obere Sperrschicht und das Ge-
hause miissen lichtdurchlassig sein (Bild 2-63).
Das haufigste Grundmaterial fur Fotohalblei-
ter ist heute Silicium. Es empfangt sichtbares
Bild 2-62. Phasenanschnittsteuerung mit Diac als Licht und nahes Infrarot, hat einen geringen
Trigger diode. Dunkelstrom /^ und ist preisgiinstig. Fotodi-
148 2 Passive Bauelemente

uuu
a) Fotodiode im Metallgehause
y
1 A
Glaslinse
y
A
Metallgehause 100 /
^ ^ —
-
^ Fotodiode

10

-
b) Fotodiode im Kunststoffgehause

1 1 ll 1 I I I ll 1 II
transparentes 10-2 lO""" 10° 10^
Kunststoffgehause Bestrahlungsstarke E^ I mW/crn^

Bild 2-64. Sperrstrom als Funktion der Bestrahlungs-


-Bonddraht Starke.

man den Uchtabhangigen Sperrstrom um den


Fotodiode Faktor 100 verstarken kann. Im Gegensatz
zu einer nachtraghchen Verstarkung wird das
Bild 2-63. Gehduse fur Fo todioden. Rauschen dabei nicht verstarkt.
Die spektrale Empfindlichkeit hangt vom Halb-
oden sind schwach dotiert, haufig ist zwischen leiterwerkstoff ab. Sie laBt sich aber mit kon-
der p- und der n-Schicht eine eigenleitende (in- struktiven MaBnahmen, beispielsweise einem
trinsic) Schicht. Dadurch sinkt der Dunkel- Antireflexbelag fur die bevorzugte langere Wel-
strom und die Ladungstrager werden schneller. lenlange, innerhalb der physikahschen Grenzen
Diese pin-Fotodiode kann noch kleinere Licht- verbessern. Haufig wird eine Angleichung an
starken messen und Signale mit hoherer Fre- die spektrale EmpfindHchkeit des menschlichen
quenz erfassen. Die aktive Flache kann bei Auges verlangt. Bin vorgeschaltetes Griinfilter
empfindlichen Fotodioden mehrere Quadrat-
dampft die Empfindlichkeitskurve so, daB diese
millimeter betragen, um bei kleinen Lichtstar-
Kurve naherungsweise erreicht wird (Bild 2-66).
ken verwertbare Strome zu erhalten.
Fotodioden arbeiten in Sperrichtung. Der Sperr- Sperrspannung U I M
strom hangt in einem groBen Bereich streng -6 -4 -2
H \ \ \ \ h-
linear von der Lichtstarke, aber nur wenig von
der angelegten Sperrspannung und der Sperr-
= 1 mW/cm2
schichttemperatur ab (Bild 2-64 und Bild 2-65).
Der kleine, beleuchtungsabhangige Sperrstrom
kommt aus einer hochohmigen Quelle und laBt
sich bei hohen Arbeitsfrequenzen nicht gut ver- -M100 i
starken. Bei der Dateniibertragung liber Glas-
fasern werden einerseits sehr hohe Arbeitsfre-
quenzen (1 GHz bis 2 GHz) verlangt, anderer-
seits ist das Signal durch die groBen Verstarker- 200
abstande in der Faser auch sehr schwach. Diese
hochfrequenten schwachen Signale empfangt
Bild 2-65. Strom- und Spannungskennlinien einer
man mit Avalanche-Fotodioden und betreibt Fotodiode.
sie mit einer Sperrspannung wenige zehntel Volt
unterhalb der Durchbruchspannung [/D (10 V Fotodioden finden zur Messung der Licht-
bis 180 V). Die vom Licht freigesetzten La- starke, zur Dateniibertragung, beispielsweise
dungstrager werden im elektrischen Feld im bei der Infrarot-Fernbedienung oder als Emp-
Halbleiter beschleunigt und schlagen weitere fanger am Ende einer Glasfaserstrecke und fur
Ladungstrager aus dem Kristall heraus, so daB viele andere Zwecke Verwendung. Hierbei ist
2.5 Dioden 149

Si ohne Anpassung
2.5.8 Kapazitatsdioden
Kapazitatsdioden sind Flachenkontaktdioden,
die aus einem pn-Ubergang bestehen. Die in
Sperrichtung anliegende Steuerspannung treibt
die Ladungstrager in ihre Schicht zuriick. Da-
zwischen bleibt eine isolierende Sperrschicht,
die mit zunehmender Steuerspannung dicker
wird. Die variable Sperrschicht mit den leiten-
"0 1 2 den Deckflachen ist ein Kondensator, dessen
Lichtwellenlange X / jum Flache konstant bleibt und dessen Plattenab-
Bild2-66. Spektrale Empfindlichkeit von Silicium- stand mit der Steuerspannung verandert wer-
und Germanium-Fotodioden. den kann. Sie heiBen auch Varactordioden (Bild
2-68).
der groBe Dynamikbereich und die kurze An-
sprechzeit von Vorteil. Der abgegebene kleine ^Sperr ( ^ l e l n ) r (groR)
Strom muB fast immer verstarkt werden. Bild
2-67 a zeigt die einfache Grundschaltung und
Bild 2-67 b einen Operationsverstarker, der den
Strom direkt in eine Spannung umsetzt. Weitere
Ausfiihrungen fmden sich in Abschn. 6.5.4.
a) Einfache MeBschaltung mit direkter Anzeige

verglejchbarer
Plattenkondensator

Sperrschicht diinn Sperrschicht dick


Kapazitat grofS Kapazitat klein
iuA)
Bild 2-68. Funktionsweise der Kapazitdtsdiode.

Bei jeder Diode andert sich die Kapazitat mit


b) Verstarkung des Sperrstroms mit einem der Sperrspannung. Kapazitatsdioden haben
Operationsverstarker ein groBes Verhaltnis zwischen der groBten und
der kleinsten nutzbaren Kapazitat, einen niedri-
gen Innenwiderstand und damit eine hohe
>. I. Glite. Verschiedene Typen decken einen groBen
4^—^ Kapazitatsbereich ab. Man verwendet sie als
l^a=^R-^
spannungsgesteuerte, veranderbare Kondensa-
toren. Sie haben die mechanischen Drehkon-
densatoren weitgehend ersetzt. Als Grundmate-
Bild 2-67. Mefischaltungen mit Fotodioden. rial dient meistens das preisgunstige Sihcium,
bei sehr hohen Frequenzen auch Galliumarse-
AuBer den Fotodioden gibt es die wesentlich nid. Bei Galliumarsenid ist die Beweglichkeit
empfindlicheren Fototransistoren. Statt eines der Ladungstrager ungefahr viermal groBer als
eingespeisten Basisstroms setzt das einfallende in Sihcium, entsprechend kleiner ist der Reihen-
Licht Ladungstrager in der Basis-Emitterzone widerstand und entsprechend groBer die Giite.
des Fototransistors frei, so daB der kleine Foto- Kapazitatsdioden stellt man nach zwei Verfah-
strom um die Stromverstarkung B zum Kollek- ren her. Verbreitet ist der Planarprozefi, nach
torstrom verstarkt wird. Fototransistoren mit dem man auch integrierte Schaltungen und
offener Basis sind sehr lichtempfmdlich, aber viele andere Halbleiterbauelemente preisgun-
langsam und haben einen groBeren Dunkel- stig herstellt (Bild 2-69 a). Der aufwendigere und
strom. Ein Basis-Emitterwiderstand verringert deshalb teurere Mesaprozefi (Bild 2-69 b) fuhrt
die Empfindlichkeit und den Dunkelstrom, da- bei gleichem Kapazitatsbereich und gleicher
durch wird der Fototransistor auch schneller. Durchbruchspannung zu einem kleineren
150 2 Passive Bauelemente

a) Planare Kapazitatsdiode
coC und dem ohmschen Serienwiderstand r^.
Ohmscher Kontakt Deshalb gilt Q = 1/coCr^ = l/2nfCr^.
Deckschicht aus Oxid Der Serienwiderstand r^ ist konstant, der BUnd-
p-Schicht widerstand nimmt mit steigender Frequenz ab,
n-Epitaxieschicht so daB die Giite sinkt. Bei der Grenzfrequenz /g
n"^-Substrat ist die Giite g = 1. Bei 100 MHz kann die Giite
Ohmscher Kontakt e > 1000 sein.
Eine Kapazitatsdiode kann den Kondensator
b) Mesa-Kapazitatsdiode eines Schwingkreises ganz oder teilweise erset-
zen (Bild 2-71).
Ohmscher Kontakt
Deckschicht aus Oxid Der Koppelkondensator C^ trennt die stets
p-Schicht gleichspannungsfreie Induktivitat von der span-
n-Epitaxieschicht
nungsgesteuerten Kapazitatsdiode. Der Paral-
n"^-Substrat lelkondensator Cp begrenzt den Abstimmbe-
Ohmscher Kontakt reich zu hohen Frequenzen hin. Die bis auf den
Reststrom im nA-Bereich stromlosen Kapazi-
Bild 2-69. Planar- und Mesaaufbau einer Diode. tatsdioden erhalten ihre Vorspannung uber
hochohmige Widerstande R^.
Langswiderstand und damit zu einer hoheren
Gixte. Im Mikrowellenbereich finden iiberwie-
gend Silicium-Mesa-Kapazitatsdioden Verwen- 20

dung.
Die elektrische Feldstarke beeinfluBt den Ab- 15
stand der leitenden Flachen in der Diode, der U-
a
mit der angelegten Spannung zunimmt. Des-
halb verringert sich die Kapazitat mit steigen- S 10
der Sperrspannung. Die genaue Funktion hangt
von der Bauart und der Fertigungsstreuung der
Diode ab. Abstimmdioden fur mehrere parallel
laufende Kreise, beispielsweise fur die Vorkreise
eines Funk- und Fernsehempfangers, sucht der
Hersteller auf automatischen Mefiplatzen pas- 0 5 10 15 20 25
Sperrspannung U^ I V
send zueinander aus und liefert sie als Quartett.
Kapazitatsdioden betreibt man meistens mit Bild 2-70. Kapazitat als Funktion der Sperrspan-
Sperrspannungen zwischen 1V und 25 V; ihre nung.
Kennlinie ist bei kleinen Steuerspannungen (ys=-1,5Vbis20V
steil, d.h. dCldU ist groB, bei groBen Steuer-
spannungen ist die Kapazitatsanderung klein,
und die Kennlinie verlauft flach (Bild 2-70).
Wird die Sperrspannung zu groB, dann bricht
die Diode durch und wird zerstort.
Die Spannung an der Diode setzt sich aus der
Summe der steuernden Signalspannung und Eingangskreis Oszillatorkreis

dem Augenblicksv^ert der Hochfrequenzspan-


Bild 2-71. Mit Kapazitatsdioden abgestimmte
nung zusammen. Auch die HF-Spannung an- Schwingkreise.
dert die Kapazitat. Sie soUte im Interesse klei-
ner Verzerrungen stets klein gegen die Steuer-
spannung sein.
2.5.9 pin-Dioden
Kapazitatsdioden haben eine hohe Giite und
eignen sich deshalb besonders fur schmalban- pin-Dioden sind Silicium-Flachenkontaktdi-
dige Schwingkreise. Die Giite Q ist der Quotient oden, die aus jeweils einer hoch dotierten p- und
aus dem kapazitiven Bhnd wider stand Z = 1/ n-leitenden Schicht mit einer dazwischen lie-
2.5 Dioden 151

genden eigenleitenden i-Zwischenschicht (in- Die Ladungsdichte der Intrinsic-Schicht und


trinsic layer) bestehen (Bild 2-72). deren Geometric bestimmen den Leitwert der
pin-Diode, wahrend die Lebensdauer i der La-
dungstrager in dieser Schicht die untere noch
sinnvoU anwendbare Frequenz bestimmt. Diese
Frequenz berechnet sich zu

Bild2-72. Aufbau einer pin-Diode.

1st die Sperrschicht nicht oder in Sperrichtung


vorgespannt, d. h. mit einer Spannung in Sperr- Der Leitwert der Diode ist proportional zu der
richtung betrieben, dann isoliert die praktisch gespeicherten Ladung und die Ladung ist pro-
ladungstragerfreie Intrinsic-Schicht, und die portional zum Diodenstrom:
Diode ist sehr hochohmig. Wird die Diode mit
der angelegten Spannung in DurchlaBrichtung (2-40)
betrieben, flieBen Ladungstrager aus den hoch
dotierten Randschichten in die Intrinsic-
Schicht und diese leitet. Der differentielle Leit- Dabei ist
wert der Sperrschicht ist zum Vor- oder Steuer- I'd Diodengleichstrom,
strom proportional, ihr differentieller Wider- T Lebensdauer der Ladungstrager,
stand entsprechend umgekehrt proportional. Q^ gespeicherte Ladung in der Diode.
Die Diode verhalt sich wie eine ganz normale
Siliciumdiode. Wird die Diode mit einem konstanten Strom
gespeist, dann ist auch die gespeicherte Ladung
Abweichend von anderen Dioden haben die La- konstant und ergibt sich zu
dungstrager in pin-Dioden eine lange Lebens-
dauer i und die Sperrschicht eine lange Aus-
gd hT. (2-41)
raumzeit t^^. Wird dem Steuerstrom ein Hoch-
frequenzstrom uberlagert, dessen Perioden-
dauer kurz gegen die Ladungstragerlebens- pin-Dioden fmden als steuerbare Widerstdnde in
dauer T und gegen die Ausraumzeit t^^ ist, dann der HF-Technik Verwendung, beispielsweise fur
verhalt sich die pin-Diode fiir die Hochfrequenz analoge Abschwacher oder HF-Schalter. Dabei
wie ein ohmscher Widerstand. Sein Wider- wird ein Spannungsteiler aus einem Festwider-
standswert laBt sich mit dem Vorstrom minde- stand und einer pin-Diode als steuerbarem Wi-
stens um den Faktor 1000 andern (Bild 2-73). derstand oder aus mehreren pin-Dioden auf-
gebaut. Bild 2-74 a zeigt das Ersatzschaltbild
eines Abschwachers mit einer Langsdiode, Bild
2-74 b die Prinzipschaltung mit der pin-Diode.
Die Beschaltung der pin-Diode muB den Hoch-
frequenzpfad vom Gleichstrompfad trennen.
Dazu flieBt der Steuerstrom uber die fur Hoch-
frequenz hochohmige Drossel L^ durch die pin-
Diode und weiter uber die Drossel L2 zur
Masse.
Fur die Hochfrequenz sind die Drosseln hoch-
ohmig und stellen keinen spiirbaren Neben-
schluB dar. Die Hochfrequenzleistung flieBt
uber den Kondensator C^ in die pin-Diode und
von dort liber den Kondensator C2 oder direkt
IQ-ll—I I lllllll I I lllllll I I mini LLLLiiill I I iiMiil
10-3 10-2 10-^ 10° 10^ 102
in den Verbraucher RL- Die Kondensatoren C^
DurchlaRstrom J / m A und C2 trennen den Gleichstrom vom HF-
Bild2-73. Hochfrequenzwiderstand einer pin-Diode Kreis ab. Die gezeigte Schaltung schwacht die
als Funktion des Steuerstromes. durchlaufende HF-Leistung durch ihren Langs-
152 2 Passive Bauelemente

a) Ersatzschaltbild
halb hochohmig. Die hochohmige Diode soil
eine moglichst kleine Kapazitat haben, um den
Spannungsteiler wenig zu beeinflussen.
Man betreibt sie deshalb normalerweise mit
o«. einer Spannung in Sperrichtung, um ihre Kapa-
zitat klein zu halten. Die Sperrschichtkapazitat
nimmt mit zunehmender Sperrspannung ab
(Abschn. 2.5.8, Kapazitatsdioden).
b) Prinzipschaltbild a) HF-Umschalter schematisch

^^

«L2n

rt». b) HF-pin-Diodenschalter

Steuerspannungen Lastwiderstand
+ U -U
yu
c) Pin-Dioden-T-Glied mit konstantem
Eingangs- und Ausgangswiderstand

l^st

A2

Hl'[>l t T 10 ^11- T A1

o^. A£>2 $ ^ 4

M^Li f^LiU
/?1 R2\\ T ^aM J

Bild2-75. Zweistufiger Hochfrequenzschalter mit


pin-Dioden.
Bild2-74. HF-Abschwdcher mit pin-Dioden.
Eine positive Steuerspannung U^^ ^ laBt Strom
widerstand, reflektiert aber auch einen Teil auf-
durch die Diode D^ flieBen, der durch die
grund der entstehenden Fehlanpassung (Ab-
schn. 4.1). GroBe der Steuerspannung und den Wider-
stand R^ bestimmt wird. D^ ist niederohmig.
Giinstiger sind T- oder 7i-Glieder aus pin-Di- Die Steuerspannung liegt an D2 in Sperrich-
oden, die so angesteuert werden, daB der Ein- tung, deshalb ist die Diode hochohmig und hat
gangs- und Ausgangswiderstand stets konstant eine kleine Kapazitat. Das HF-Eingangssignal
ist (Bild 2-74 c). kommt am Ausgang A^ mit geringer Dampfung
Pin-Dioden eignen sich sehr gut fur Hochfre- (0,5 dB bis 1 dB) an. Gleichzeitig hegt an U^^ 2
quenzschalter bis in den hohen GHz-Bereich. eine negative Steuerspannung. Die Diode D3 ist
Durch entsprechende Schaltungen konnen ein- mit der Differenz beider Steuerspannungen ge-
fache Ein-Aus-Schalter ebenso wie Auswahl- sperrt, D4 fiihrt Strom und ist entsprechend nie-
schalter (1 aus n) realisiert werden. Bild 2-75 derohmig. Das HF-Signal am Ausgang A2 ist
zeigt einen zweistufigen Hochfrequenzschalter. stark gedampft (40 dB bis 70 dB).
Jeder Zweig des HF-Schalters besteht aus Die Dampfung des Abschwachers und des HF-
einem Spannungsteiler aus zwei pin-Dioden D^ Schalters ist im Prinzip frequenzunabhangig.
und D2. Eine Diode fuhrt Gleichstrom und ist Die unvermeidbare Sperrschichtkapazitat und
niederohmig, die andere ist stromlos und des- der restliche Reihenwiderstand verschlechtern
2.5 Dioden 153

die Eigenschaften bei hohen Frequenzen. Pin- bis in den hohen GHz-Bereich Verwendung.
Dioden sind, je nach Einsatzgebiet, mit unter- Die Schaltzeit t^ bestimmt die obere Frequenz-
schiedlichen Schaltzeiten fiir verschiedene Fre- grenze. Sie muB kiirzer als die Periodendauer
quenzbereiche im Handel. AUe wichtigen Eigen- der hochsten Ausgangsfrequenz sein. Hier er-
schaften sind in den Datenbuchern enthalten reicht die Frequenzumsetzung ihren besten
Oder beim Hersteller zu erfragen. Wirkungsgrad. Die Periodendauer der nied-
rigsten Eingangsfrequenz muB kurzer als die
2.5.10 Step-Recovery-Dioden Lebensdauer T der Ladungstrager sein, da sonst
ein erheblicher Teil der eingebrachten Energie
Im DurchlaB betriebene Dioden speichern La- durch Rekombination verloren geht. Bei guten
dungstrager in der Sperrschicht. Andert die an- Step-Recovery-Dioden ist die Lebensdauer T
liegende Spannung ihr Vorzeichen, dann flieBt der Ladungstrager mehrere hundert mal groBer
der Strom solange weiter, bis die Ladungstrager als die Schaltzeit t^.
die Sperrschicht verlassen haben. Bei geeigne- Bei der Frequenzvervielfachung wird der beste
tem Aufbau und entsprechender Dotierung der Wirkungsgrad erreicht, wenn der StromabriB
Diode endet der Stromflufi in der Sperrphase im Maximum einer Halbwelle stattfmdet.
plotzlich. Dieser StromabriB kann in einer In- Hierzu kann die Diode iiber einen Widerstand
duktivitat in einen Spannungsimpuls mit steilen mit einem kleinen Gleichstrom vorgespannt
Flanken umgewandelt werden. Betreibt man werden.
die Diode mit Wechselspannung, so entsteht ein
Die umgesetzte Leistung ist nicht auf kleine Si-
nichtsinusformiger Strom, der auBer der anre-
genden Frequenz /Q auch deren Vielfache oder gnalpegel beschrankt. Halt die Diode die ent-
Harmonische enthalt (Bild 2-76). stehende Verlustleistung und die Sperrspan-
nung aus, dann kann man mehrere Watt Ein-
gangsleistung direkt in eine hohere Frequenz
umsetzen.
Die Step-Recovery-Diode stellt einen zeitlich
nicht konstanten Widerstand dar. Dadurch
konnen im Hochfrequenzbetrieb, beispielsweise
durch unbekannte Leitungslangen, undefmierte
Zustande im EnergiefluB entstehen (Abschn.
4.1). Sie wird deshalb iiber ein TiefpaBfilter an
die Hochfrequenzquelle G angekoppelt. Bild
Bild2-76. Spannung und Strom in einer Step-Re- 2-77 zeigt eine Step-Recovery-Diode als Fre-
covery-Diode. quenzvervielfacher.

Der oben beschriebene Effekt tritt in jeder Di-


ode auf, bei der Step Recovery Diode ist er be- T
sonders ausgepragt. Sie besteht, ahnlich wie
eine pin-Diode, aus einer p-dotierten, einer
eigenleitenden (intrinsic) und einer n-dotierten
Schicht. Die Ladungstrager rekombinieren we-
0
Quelle fiir
T T J

Eingangs- Step-Recovery- Ausgangs-


J
j|.

Verbrat cher
nig und sind entsprechend langlebig. Nach der Ausgangs- filter p i o d e mit Vor- filter fiir
frequenz stromerzeugung
Umpolung flieBt der Strom in Sperrichtung so und Trenn-
^0
lange weiter, bis die Sperrschicht ausgeraumt ist kondensator
und eine ladungstragerfreie und damit nichtlei-
tende Zwischenschicht entsteht. Dabei hort der Bild 2-77. Step-Recovery-Diode mit Beschaltung als
Frequenzvervielfacher.
StromfluB plotzlich auf. Die Zeit, in der der
Strom von 80% seines Ausgangswertes auf
20% abgesunken ist, bezeichnet man als Schalt- Das Eingangsfilter verhindert den RiickfluB har-
zeit tg oder transition time. monischer Oberschwingungen aus der Diode in
Step-Recovery-Dioden fmden zur Erzeugung den Generator. Es reflektiert deren Energie wie-
steiler Impulse und als Frequenzvervielfacher der in die Diode, wo sie teilweise in die hohere
154 2 Passive Bauelemente

Nutzfrequenz und teilweise in Warme umge- schnellsten Halbleiterbauteilen. Sie konnen bei
setzt wird. Der Generator erhalt dabei stets den einem bestimmten Strom zwei verschiedene sta-
erwunschten Anpassungswiderstand. Die Diode bile Spannungszustande annehmen und damit,
Di liegt direkt zwischen dem Eingangs- und ahnUch wie ein Flip-Flop, zwei Zustande spei-
dem Ausgangsfilter, und der Kondensator C^ chern. Die instabilen Bereiche werden sehr
trennt das jeweils gleichspannungsfreie Ein- schnell durchlaufen. Deshalb erzeugt ein sinus-
gangs- und Ausgangsfilter von der iiber R^ vor- formiger Wechselstrom eine Spannung mit stei-
gespannten Diode. Ein BandpaB als Ausgangs- len Flanken. Der negative differentielle Wider-
filter soil nur die erwiinschte Oberschwingung stand eignet sich zum Entddmpfen eines Schwing-
zum Verbraucher ZQ durchlassen. AUe Span- kreises so, daB ein Oszillator entsteht.
nungen mit anderen Frequenzen v^erden vom Transistoren und integrierte Schaltungen aus
Filter wieder in die Diode reflektiert und dort GalHumarsenid erreichen heute vergleichbare
zum Teil wieder in die Nutzfrequenz umgewan- Schaltgeschwindigkeiten bei wesentHch groBe-
delt. rem Spannungshub; deshalb ist die Bedeutung
Die Filter baut man gern in Streifenleitertech- der Tunneldioden heute gering.
nik, sofern die Frequenz und damit die Wellen-
lange ausreichend kleine Abmessungen zulaBt,
was ungefahr oberhalb 1 GHz der Fall ist.
Die Step-Recovery-Diode erlaubt den Aufbau
einfacher und stabiler Frequenzvervielfacher, um
phasenstarre Vielfache einer Grundfrequenz zu
erhalten oder um eine sehr hohe Frequenz mit
ausreichender Leistung zu erzeugen.

2.5.11 Tunneldioden
Tunneldioden sind extrem stark dotierte Ger-
maniumdioden mit einer sehr dunnen Sperr-
schicht. Sie wurden von Esaki erforscht (ESAKI,
japan. Physiker, geb. 1925) und heiBen deshalb 100 200 300 400 500
auch Esaki-Dioden. DurchlaBspannung Up I mV
Elektronen mit einem kleinen Energieniveau
konnen die Sperrschicht in DurchlaBrichtung
passieren, d. h. durchtunneln, obwohl die anlie- Bild2-78. Kennlinie einer Tunneldiode.
gende Spannung deutlich unterhalb der Schwell-
spannung liegt. Das Maximum des Tunnel-
stroms wird bei 50 mV bis 100 mV DurchlaB-
spannung erreicht; danach nimmt der Tunnel-
strom wieder ab. Bei t/j) ^ 0,4 V setzt der
normale DurchlaBstrom der Germaniumdiode
ein, beide Strome iiberlagern sich und ergeben
die charakteristische Kennlinie der Tunnel-
diode. Im abfallenden Teil der Kennlinie hat die
Tunneldiode einen negativen differentiellen In-
nenwiderstand (Bild 2-78).
Durch den sofort einsetzenden Zenereffekt ist
die Diode in Sperrichtung auch bei kleinen
Spannungen niederohmig. Andert sich die -100 100 200 300 400 500
Spannung an der Tunneldiode, dann stellt sich DurchlaBspannung U^ I mV
der aus der Kennlinie erwartete Strom inner-
halb sehr kurzer Zeit (t ;:^ 100 ps) ein.
Tunneldioden gehorten lange Zeit zu den Bild2-79. Kennlinie einer Backwarddiode.
2.5 Dioden 155

2.5.12 Backwarddioden tung, sie wird riickwarts (engl: backward) be-


trieben (Bild 2-79).
Backwarddioden arbeiten wie Tunneldioden
sehr schnell. Sie eignen sich zum Gleichrichten
Backwarddioden sind ahnlich wie Tunneldi- kleiner Hochfrequenzspannungen, die in nor-
oden aufgebaut, aber schwacher dotiert. Es tritt malen Dioden mit hoher Schwellspannung
nur ein geringer Tunneleffekt auf, weshalb der unterdriickt oder verfalscht werden. Die Be-
Strom in DurchlaBrichtung gegeniiber dem deutung dieser speziellen Germaniumdioden
normalen Diodenstrom nur wenig erhoht ist. ist heute gering. Kleine Hochfrequenzspannun-
Der Zenerdurchbruch macht die Diode schon gen kann man heute besser verstarken oder
bei kleinen Spannungen in Sperrichtung nieder- mit Schottky-Dioden mit besonders kleiner
ohmig. Die Diode leitet in Sperrichtung und Schwellspannung (Zero-Bias-Detektordioden,
sperrt bei kleinen Spannungen in DurchlaBrich- Bild 2-54) gleichrichten.
156 3 Aktive Bauelemente

3 Aktive Bauelemente
3.1 Transistoren
3.1.1 Arten von Transistoren
und deren Aufbau
Transistoren sind aktive Halbleiterbauelemente
zum Verstarken von elektrischen Signalen. Die
unterschiedlichsten Anwendungsfalle haben zu
einer groBen Vielfalt verschiedener Transistor-
typen gefuhrt. Selbst analoge und digitale inte-
grierte Schaltungen (IC) sind aus Transistoren
mit der erforderlichen Beschaltung zusammen-
gesetzt. Bild 3-1 gibt eine Ubersicht uber die
verschiedenen Transistortypen, den prinzipiel-
len Aufbau, die Schaltzeichen, die charakteristi-
schen Kennlinien und zeigt einige wichtige An-
wendungsfalle.
Man teilt die Transistoren in bipolare und uni-
polare oder Feldeffekttransistoren ein. Die histo- Bild 3-2. Moderne Transistorgehause fur verschie-
dene Leistungsgruppen.
risch alteren bipolaren und stromgesteuerten Werkfoto: International Rectifier.
Transistoren wurden durch die spannungsge-
steuerten Feldeffekttransistoren erganzt. Die teure, hermetisch dichte Metallgehause ein und
hochentwickelte Herstellungstechnologie er- fuhrte die Anschliisse durch Metall-Glas-
laubt es, mit jedem Transistortyp den groBten Durchfuhrungen aus dem Metallgehause her-
Teil der Anwendungsfalle zu losen. Obwohl in aus. Heute verwendet man fiir die meisten Ein-
den letzten Jahren die Bedeutung der Feld- satze preisgunstige Kunststoffkapselungen. Die
effekttransistoren fur diskrete und integrierte durch die Verlustleistung frei werdende Warme
Schaltungen erheblich gewachsen ist, haben bi- und die Art des Einbaus bestimmen die Ge-
polare Transistoren einen wichtigen Platz in der hausegroBe und -form. Transistoren mit kleiner
modernen Schaltungstechnik. Sie werden in Leistung werden vielfach in Gehause zur Ober-
diesem Kapitel nur Transistoren genannt. flachenmontage (SMT, Abschn. 1.9.1) eingebaut.
Transistoren sind auf einem quadratischen In Bild 3-3 sind der prinzipielle Aufbau, die
Halbleiterchip von wenigen Zehntel Millimeter Schaltzeichen und die Schaltungen eines bi-
Kantenlange untergebracht. (Bei Leistungs- polaren Transistors verdeutlicht.
transistoren kann die Kantenlange mehrere Der npn-Transistor in Bild 3-3 a besteht aus
Millimeter betragen). Der Transistorwerkstoff drei verschiedenen Elektroden: dem negativ do-
ist uberwiegend Silicium (4. Gruppe des Peri- tierten Emitter (n), der positiv dotierten Basis-
odensystems der Elemente) oder besteht aus zone (p) und dem negativ dotierten Kollektor
einer Kombination drei- und funfwertiger Ele- (n). Fiir den in der Praxis am haufigsten einge-
mente (z.B. GaAs). Die Herstellung verschie- setzten npn-Transistor sollen alle Schaltungen
dener Ladungszonen geschieht durch Diffusion erklart werden. (Beim pnp-Transistor werden
oder lonenimplantation (Abschn. 1.9.5). Die so lediglich p- und n-Schichten sowie die Vorzei-
hergestellten Transistoren sind auBerst emp- chen der Strom- und Spannungsrichtungen ver-
fmdlich gegen Feuchtigkeit und Warme und tauscht; das Funktionsprinzip und die Schal-
neigen zu schneller Korrosion. Sie werden des- tungsberechnung bleiben unverandert). Bild
halb in ein Gehause eingebaut, das schadliche 3-3 b zeigt die schematische Darstellung der
Umwelteinfliisse fernhalt und die Verlustwarme drei Transistorelektroden mit den entsprechen-
des Transistors an die umgebende Luft oder an den Stromen und Spannungen. Den Transistor
einen Kuhlkorper abgibt. kann man, wie Bild 3-3 c zeigt, vom Aufbau her
Bild 3-2 zeigt verschiedene Transistorgehause. als Kombination zweier gegeneinander geschal-
Friiher baute man hochwertige Transistoren in teter Dioden mit gemeinsamer Mittelschicht,
3.1 Transistoren 157

c C
O 1
EESl
2 / o :

I- Q I I. a l I CO c ::)
liJ .
u.' a ) \ CO LU
V rr:r^c
(O
O
3 CO
• O
Tiij-

CO LU
C LL

1/ CO W
^ O
•^n. ^ 2J

CD ^ £
CO W
^ O

^ CO L U
^ LJ_
«5 <U w -^ _
LU Ox"
4-tl] ->. oj — c <i>
V) «« "h o
o) o ,1^ .52
^•- S
.c o
O '-^
CO O
.> C ^ 3 CO
+-" m — c: c
w Q. gj o) o5

CO L U XL Q.
w
+J
^
a; C
^'^
• ^ [O) _C0 Vj^

o 0)
0) o
0}
o
CD

b c
N TJ
«rt C
3

a c $
0)
<

^ ?
"a ^
"N Si

Q.<
158 3 Aktive Bauelemente

d)

(l«c 1
Basis B
\
Emitter E
/ h 1 + L/o
i-Ir.
K^=S7 h
n Kollektor C

P Basis B
+u.
-/R

^ <
n Emitter E

Kollektor C E 1
0 o

Bild 3-3. Aufbau, Schaltzeichen und Schaltung eines bipolaren Transistors mit Kollektorwiderstand RQ .

der Basis, verstehen. Diese Struktur laBt sich Emitter. Nach diesem Prinzip arbeiten alle bi-
zwar mit einem Ohmmeter leicht nachweisen, polaren Transistoren.
sie erklart aber nicht die physikalische Wir- Bild 3-4 zeigt den Kollektorstrom IQ in Abhan-
kungsweise des Transistors. Der im Prinzip gigkeit von der Kollektorspannung UQ und dort
symmetrische Transistor wird fur viele Anwen- die verschiedenen nutzbaren und verbotenen
dungsfalle unsymmetrisch gebaut, um spezielle Arbeitsbereiche eines npn-Transistors.
Eigenschaften, beispielsweise eine hohe Strom- Im aktiven Bereich (1) arbeitet der Transistor als
verstarkung, zu erzielen. Bild 3-3 d zeigt das analoger Verstarker. Hierbei hangt die Verstar-
Schaltzeichen und die Bepfeilung eines npn- kung nur wenig von den BetriebsgroBen, bei-
Transistors, Bild 3-3 e fiir den pnp-Transistor spielsweise der Kollektor-Emitter-Spannung
(zu Schaltzeichen, Abschn. 1.2.8, Bild 1-6). (7cE» ^^^ Kollektorstrom I^, der Sperrschicht-
temperatur ^j Oder der Betriebsfrequenz / ab.
3.1.2 Beschaltung und Funktion Mit kleiner werdender Kollektor-Emitter-
des Transistors Spannung ((7cE < 1 V) nimmt die Stromverstar-
kung stark ab, und der Transistor kommt in den
Die auBere Beschaltung (d. h. der Einbau eines Ubersteuerungsbereich (2). Wird der Basisstrom
Transistors in eine elektrische Schaltung) bringt /B = 0, dann flieBt auch kein Kollektorstrom
den Transistor in den gewiinschten Arbeitsbe- mehr; der Transistor ist im Sperrbereich (5). Der
reich (Strom- und Spannungsbereich, in dem Ubersteuerungs- und der Sperrbereich werden
der Transistor arbeitet). Zu diesem Zweck er- bei gesattigten Logikschaltungen (Abschn. 12.1)
halt die Basis einen kleinen Gleichstrom, dem genutzt. Der Betrieb im Durchbruchbereich (4)
der zu verstarkende Signalstrom uberlagert
wird. Die Basis-Emitter-Diode (Bild 3-3 c) be-
treibt man in DurchlaBrichtung; sie beginnt ab 3
einer Basis-Emitter-Spannung U^^ von etwa
0,5 V zu leiten. 2

Der Basisstrom /g hangt (Gl. (3-2) und Gl.


(3-3)) von der angelegten Basis-Emitter-Span- Y 4/
nung C/gE ^^^ der Sperrschichttemperatur Sj ab 1
(j: engl.: junction: Sperrschicht). Dieser Basis-
strom bringt Ladungstrager in die in Sperrich-
tung betriebene und deshalb isolierende Basis-
Kollektor-Diode und macht diese leitfahig. Wie
die weiter unten dargestellten Kennlinien zei-
gen (z.B. Bild 3-10 in Abschn. 3.1.3.2), erzeugt jUI 5
der Basisstrom einen wesentlich groBeren Kol-
lektorstrom IQ , der von der Kollektor-Emitter-
K \
Kollektor-Emitterspannung UQ^
Spannung UQ^ nur wenig abhangt. Dieser Kol- Bild 3-4. Betriebsbereiche eines Transistors, Para-
lektorstrom Ic flieBt iiber die Basis zum meter: Basisstrom.
3.1 Transistoren 159

und oberhalb des zulassigen Kollektorstroms


(3) zerstort den Transistor.
1 ^B ^c 2
Bild 3-5 zeigt die prinzipielle Wirkungsweise B o——»- -^ C
der Verstarkung eines Transistors, der als Vier-
pol zu verstehen ist.
^21 ^E 2'
^B
i E o m- » o E

^
S f

b)
Bild 3-5. Der Transistor als Vierpol.
1 ^B
B o—*—
Der Transistor wirkt als Verstarker, da ein klei-
ner Basisstrom I^ einen groBen Kollektorstrom
IQ verursacht. Das Verhaltnis von Kollektor- zu r
Basisstrom (/C/^B) bezeichnet man als Gleich- E ^y-

stromverstdrkung B oder, bei kleinen Anderun-


gen des Basisstroms /g, als differentielle Strom-
verstdrkung j5. Diese VerstarkungsgroBen sind
nicht konstant, sondern fiir verschiedene Ar-
beitspunkte (Werte fur /g, I^ und ^j) unter- 1 ^B lo 2
B o- -o C
schiedlich groB und werden in den Kennlinien-
feldern genauer beschrieben (Abschn. 3.1.4).
Allgemein beschreiben Kennlinien graphisch in 2'
einem Diagramm die typische Abhangigkeit r -<5 E
E ^>-
zweier (oder mehrerer) KenngroBen, z.B. die
Abhangigkeit des Kollektorstroms I^ vom Ba- Bild 3-6. Ersatzschaltbild des Transistors.
sisstrom /g. Werden verschiedene Parameter
verandert, wie z. B. die Sperrschichttemperatur r^e stellt den Eingangswiderstand R^ = r^^ der
^ j , so entstehen mehrere Kennlinien; man Basis-Emitter-Strecke dar (Bild 3-6 a). Der Ba-
spricht von Kennlinienfeldern. sisstrom /g hangt von der angelegten Basis-
Zur praktischen Dimensionierung einer Schal- Emitter-Spannung ^g^, der GroBe und Bauart
tung miissen die Eigenschaften des Transistors des Transistors und der absoluten Sperrschicht-
bekannt sein, damit man sie durch eine geeig- temperatur Tj nach Gl. (3-1) folgendermaBen
nete Beschaltung optimal dem Anwendungs- ab:
zweck anpassen kann. Die Eigenschaften wer-
den in Kennwerte und in Grenzwerte eingeteilt. /B = / o - ( e ' ^ - J - l ) - (3-1)
Wahrend Kennwerte bekannt sein miissen, um
sinnvolle Anwendungen bauen zu konnen, dur- Betreibt man die Basis-Emitter-Diode in Durch-
fen Grenzwerte nicht uberschritten werden. laBrichtung, gilt fur den Basisstrom /g nahe-
rungsweise
3.1.3 Wichtige Kennwerte von Transistoren
Im folgenden werden die wichtigsten Kenn- /g = / s e ^ T j . (3-2)
werte von Transistoren genannt.
Dabei ist /^ der fur den Transistor charakteri-
3.1.3.1 Eingangswiderstand stischer Sperrsattigungsstrom (z.B. IQ = 0,1 nA
Bild 3-6 zeigt die wesentlichen Zusammen- fiir einen Silicium-Kleinsignaltransistor bei
hange, wobei der Transistor als Vierpol (Bild 25 °C), und l/j die Temperaturspannung, fiir die
gilt
3-5) aufzufassen ist und stets die Emitterschal-
tung als Beispiel dient. (3-3)
U^ = kT/eo
Der Eingangswiderstand der Emitterschaltung
160 3 Aktive Bauelemente

mit der Boltzmann-Konstanten ^ = 1,38 •


10~^^ W s / K , der absoluten Temperatur T u n d
der Elementarladung e^ = 1,602 • 10" ^^ A s.
Mit diesen Werten betragt Uj bei Raumtempe-
ratur (25 °C oder 298 K) 26 mV. Praktisch liegt
0,5

0,4
n
der Wert aber eher bei 40 mV. (Vergleiche zur < •>!= +100°C +25°C|- 50°CJ
Temperaturspannung Abschn. 1.8.7.1). E 0,3

Wie Gl. (3.2) zeigt, hangt der Basisstrom nicht


linear, sondern exponentiell von der Basis-
Emitter-Spannung U^^ ab. Dieser stark nicht- .^ 0,2

lineare Eingangswiderstand stort die meisten


Anwendungen. Deshalb gleicht man ihn durch
eine geeignete Beschaltung des Transistors aus 0,1
/ k^e/
und steuert zu diesem Zweck den Transistor mit
einem bestimmten Basisstrom /^ (statt einer
y^BE*
Spannung) an.
0 0,2 0,4 0,6 0,8 1,0
Der Eingangsleitwert g^^ = l/r^g des Transistors Basis-Emitter-Spannung U^^ I V
errechnet sich durch Differenzieren des Ein- Bild 3-7. Basisstrom I^ als Funktion der Basis-Emit-
gangsstroms I^ nach der Eingangsspannung ter-Spannung t/gE ^^d der Sperrschichttemperatur S^
^BE- Aus Gl. (3-1) ergibt sich (lineare Skala).
lOOOr
1 / o - e USE/UT
dl/« Ur
Wird fur IQ Gl. (3-2) eingesetzt, so ist der Ein-
100
gangswiderstand des Transistors r^g
^ =+1( DO°C / + 2 5 / / - 5 C °C
^e = ^T/4 (3-4)
10
Es ist zu beachten, daB Uj temperaturabhangig
ist und bei 25 °C ungefahr 40 mV betragt.
Der Eingangswiderstand R^ erscheint im Er-
satzschaltbild des Transistors als Basis-Emitter-
Widerstand r^^ (Bild 3-6 a). Die Bilder 3-7 und
3-8 zeigen den Basisstrom I^ als Funktion der
Basis-Emitter-Spannung U^^ und der Sperr-
0,1
schichttemperatur ^j sowie den in Gl. (3-2) auf- 0,2 0,4 0,6 0,8 1,0
gefuhrten nichtlinearen Verlauf des Eingangs- Basis-Emitter-Spannung U^^ I V
stroms 4 . Bild 3-8. Basisstrom /g als Funktion der Basis-Emit-
Wahrend Bild 3-7 den Zusammenhang in einem ter-Spannung C/gg und der Sperrschichttemperatur S^
Hnearen Diagramm darstellt, zeigt Bild 3-8 die- (logarithmische Skala).
selben Abhangigkeiten logarithmisch und liber
— 2 m V / K . Auch dieser Temperaturgang muB
einen groBen Basisstrombereich.
durch geeignete Schaltungen korrigiert werden.
Eine lineare Spannungsverstarkung kann man
durch eine Gegenkopplung (Abschn. 3.2.1.1) er-
3.1.3.2 Stromverstarkung
reichen. Dabei wird ein Teil des Ausgangs-
signals mit der dem Eingangssignal entgegen- Bild 3-9 zeigt die Aufteilung der Strome im
gesetzten Phase dem Eingang wieder zugefuhrt, Transistor.
so daB die Linearitat verbessert, aber die Ver- Ein kleiner Basisstrom /g ( 1 % des Emitter-
starkung verringert wird (Abschn. 3.2.1.1). stroms) verursacht beim Transistor einen
AuBerdem hangt die Basis-Emitter-Spannung groften Kollektorstrom IQ (99% des Emitter-
t/gE von der Sperrschichttemperatur T^ ab. stroms), der aus der angelegten Spannungs-
Der Temperaturkoeffizient a betragt etwa quelle entnommen wird. Bild 3-6 a zeigte bereits
3.1 Transistoren 161

99% f/,

1%

Bild3-9. Aufteilung der


Strome im Transistor.

den Basisstrom /g, der durch den Eingangswi-


derstand R^ = r^^ festgelegt ist und die Strom-
quelle j5 • /B im Kollektor-Emitter-Kreis. Die
Summe aus Basisstrom I^ und Kollektorstrom
IQ flieBt iiber den Emitter als Emitterstrom /^ 20 40 60 80 100
ab. Basisstrom /g / /xA

Kollektor- und Basisstrom weisen einen weitge- Bild 3-10. Stromverstarkung eines Transistors.
10
hend linearen Zusammenhang auf. Deshalb ist
der Quotient aus Kollektorstrom /(. und Basis- i-R=40MA
strom /B im aktiven Arbeitsbereich nach Bild
3-4 ungefahr konstant. Man bezeichnet ihn als
Gleichstromverstdrkung B, und es gilt <
E 30
-H" 6

20
4H
Bei groBen Anderungen des Kollektorstroms
(/c>10) Oder, wenn die Kollektor-Emitter- 10
Spannung UQ^ sehr klein wird, dann andert sich
auch die Stromverstarkung B. Da sie auch von
der Sperrschichttemperatur ^j und der Be-
_L _L _L J_
triebsfrequenz/abhangt, gibt man die differen- 0 2 4 6 8 10
tielle Stromverstarkung ^ an, die folgender- Kollektor-Emitter-Spannung UQ^ I V
maBen definiert ist: Bild 3-11. Ausgangskennlinienfeld eines Kleinsignal-
transistors.

Gleichstromverstarkung B
Die Gleichstromverstarkung B hangt, wie Bild
Bild 3-10 zeigt die Zusammenhange. 3-12 zeigt, sowohl vom Kollektorstrom IQ als
Im Ersatzschaltbild des Transistors nach Bild auch von der Sperrschichttemperatur ^j ab.
3-6a bestimmt die Stromverstarkung jS das Ver- Differentielle Stromverstarkung j5
haltnis zwischen dem Basisstrom I^ und dem
Kollektorstrom 7^. Zwischen den GroBen be- Weiterhin besteht ein Zusammenhang zwischen
stehen folgende Abhangigkeiten: der Stromverstarkung ^ und Arbeitsfrequenz/.
Die bei Gleichstrom und niederen Frequenzen
in einem Arbeitspunkt konstante Stromverstar-
Kollektorstrom /^
kung ^Q nimmt mit zunehmender Frequenz ab.
Der Kollektorstrom IQ eines Transistors hangt Die Frequenz, bei der die differentielle Strom-
iiberwiegend vom Basisstrom I^ ab. Andere verstarkung ^ auf 1 abgefallen ist, heiBt Transit-
GroBen, insbesondere die Kollektor-Emitter- frequenz fj. In Bild 3-13 ist die Stromverstar-
Spannung UQ^ haben, wie Bild 3-11 verdeut- kung P in Abhangigkeit von der Arbeits-
licht, nur einen geringen EinfluB. frequenz / dargestellt.
162 3 Aktive Bauelemente

tern mit engeren Toleranzen. Trotz aller Verbes-


1,5 serungen in der Herstellungstechnik kann man
den Gleichstromarbeitspunkt einer Halbleiter-
^i= + 10C
schaltung nur in einer gegengekoppelten Schal-
tung sicher im aktiven Arbeitsbereich (Bild 3-4)
1,0 +21:)°c-
halten, ohne in einen verbotenen Arbeitsbereich
zu driften.
3.1.3.3 Ausgangsleitwert
-5C
0,5 Der Kollektor eines Transistors wurde bisher
als reine, vom Basisstrom I^ gesteuerte Strom-
quelle betrachtet, deren Strom am Arbeits-
widerstand die gewunschte Ausgangsspannung
C4 erzeugt. Durch den Kollektor-Basis-Wider-
0,01 0,1 10 100
stand r^ flieBt, abhangig von der Kollektor-Ba-
Bild3-12. Gleichstromverstdrkung in Abhdngigkeit sis-Spannung UQ^ , ein kleiner Strom in die Ba-
vom KollektorStrom IQ (Parameter, Sperrschichttem- sis, der um den Faktor B verstarkt in der
peratur ^j). KoUektor-Emitter-Strecke auftritt. Im Ersatz-
lOOOr schaltbild ist die Ursache dieses Stroms der
Leitwert g^ = l/r^, der sich parallel zur Kollek-
^0 tor-Emitter-Strecke befmdet (Bild 3-6 b). Der
Ausgangsleitwert g^ ist im Ausgangskennlinien-
100 feld nach Bild 3-11 durch die Reststeigung der
Kollektorkennlinie zu erkennen, wobei gilt

10

Bei hochohmigen Arbeitswiderstanden muB


man den Ausgangsleitwert g^ parallel zum Ar-
beitswiderstand in solchen Fallen beriicksichti-
gen, wenn er den Arbeitswiderstand RQ merk-
lich verkleinert. Bei Kleinsignaltransistoren
0
0,1 1 1 1 liegt g^ in der GroBenordnung 5 |iS bis 50 |iS.
0,1 1 10 100 1000
Arbeitsfrequenz /"/MHz 3.1.3.4 Spannungsriickwirkung
Bild3-13. Frequenzabhdngige Stromverstdrkung.
Genaue Untersuchungen zeigen, daB die Kol-
Aus Bild 3-13 ist zu erkennen, daB bei der
lektor-Basis-Spannung UQ^ auch die Basis-
Grenzfrequenz/g=/j/j?o die Stromverstarkung
Emitter-Spannung U^^ und den Basisstrom I^
auf j5 = Pol^ abgefallen ist. Wie bei einem RC-
beeinfluBt. Da der hochohmige Kollektor-Ba-
Glied, das die dem Transistor entsprechende siswiderstand r^ mit dem niederohmigen Basis-
Grenzfrequenz /g =fjlPo hat, tritt auch hier Emitterwiderstand r^g einen Spannungsteiler
eine Phasenverschiebung zwischen Basis- und bildet, wirkt die Kollektorspannung nur wenig
Kollektorstrom auf. Bei kritischen Schaltungen zuruck. Bild 3-6 c zeigt den Riickwirkungs-
sind deshalb der Verstdrkungsabfall und die widerstand r^ als Ursache der Spannungsriick-
Phasenverschiebung zu berucksichtigen. wirkung D, die eine dimensionslose Zahl ist. Sie
Zu den oben genannten systematischen Abhan- ist als Steigung der Kennlinie Kollektor-Emit-
gigkeiten kommen groBe Exemplarstreuungen ter-Spannung UQ^ als Funktion der Basis-Emit-
der differentiellen Stromverstarkung P bei ter-Spannung U^^ defmiert:
Transistoren gleichen Typs, die der Hersteller
durch Sortieren in Stromverstarkungsgruppen
mildern kann. Moderne Fertigungsverfahren
wie die lonenimplantation fuhren zu Halblei-
3.1 Transistoren 163

D liegt fiir Kleinsignaltransistoren lediglich in


der GroBenordnung 3 • 10"^ weshalb diese auf
die Schaltungseigenschaften nur einen geringen
EinfluB hat, so daB man sie im allgemeinen ver-
nachlassigen kann. Wie Gl. (3-9) und Tabelle 3-1 zeigen, hat der
Die TransistorgroBen Eingangswiderstand r^g, Eingangswiderstand h^^ die Dimension eines
Stromverstarkung p, Ausgangsleitwert g^ und Widerstandes, wahrend die Spannungsriick-
die Spannungsriickwirkung D sind bei Gleich- wirkung h^2 dimensionslos ist. Gl. (3-10) be-
strom und niedrigen Frequenzen reell. Mit zu- schreibt den Kollektorstrom I^ und enthalt die
nehmender Frequenz machen sich jedoch die dimensionslose Stromverstarkung /Z21 ^^^ ^^^
Kapazitaten zwischen den Elektroden bemerk- Ausgangsleitwert /i22- An dieser Stelle ist anzu-
bar und beeinflussen die Verstarkung P und den merken, daB die /z-Parameter keine festen Werte
Eingangs- und Ausgangswiderstand erheblich. sind, sondern, wie die KenngroBen auch, vom
Die GroBen werden dann komplex. Typ, dem Arbeitspunkt und den unvermeid-
baren Exemplarstreuungen abhangen.
3.1.3.5 /r-Parameter als Transistorkennwerte
Den Transistor kann man, wie bereits in Bild 3.1.3.6 Rauschen
3-5 dargestellt ist, als Vierpol betrachten, und In einem Leiter, beispielsweise einem Wider-
man kann seine Eingangs- und Ausgangs- stand Oder einem Halbleiter, erzeugt die Warme
groBen berechnen. Fiir Niederfrequenztransi- unregelmaBige Bewegungen der Ladungstra-
storen dienen die h-Parameter als KenngroBen. ger, die als Rauschen wahrgenommen und als
Sie heiBen hybride Parameter, weil sie verschie- Rauschleistung PR defmiert werden. Den Quo-
dene Dimensionen haben, und entsprechen den tienten aus der Rauschleistung PR und der
unter Abschn. 3.1.3.1 bis 3.1.3.4 beschriebenen Frequenzbandbreite d/ bezeichnet man als
KenngroBen. In Bild 3-6 sind die /i-Parameter Rauschleistungsdichte P^/df. Ist sie, wie bei Wi-
eingetragen. Tabelle 3-1 zeigt den Zusammen- derstanden, bei alien Frequenzen gleich, spricht
hang zwischen den Kennwerten und den h- man von weifiem Rauschen. Die Rauschleistung
Parametern in Emitterschaltung. PR hangt in diesem Fall von der absoluten Tem-
Die deutschsprachige FachHteratur indiziert die peratur T und der Frequenzbandbreite d/,
/i-Parameter mit Zahlen, wahrend im anglo- nicht aber vom Widerstand ab. Es gilt
amerikanischen Sprachgebrauch Buchstaben
iibhch sind.
PR = 4 - / c - T - d / (3-12)
Die nachfolgenden Gleichungen (3-9) und (3-10)
beschreiben mit den /z-Parametern den Zusam-
mit der Boltzmannkonstanten /c=l,3810~^^
menhang zwischen der Eingangsspannung 17^^
Ws/K. Uber den Widerstand R kann man aus
und dem Kollektorstrom I^ mit Hilfe des Basis-
der Rauschleistung PR die Rauschspannung 1/R
stroms /b und der Kollektor-Emitterspannung nach folgender Gleichung ermitteln:
[/,,:
(3-9) t/R ==yfK •R
(3- 13)
(3-10) =v^ k-T
t/R = d/- R.

Ausgehend von diesen Gleichungen kann man In Transistoren erzeugt die Bewegung der La-
die /i-Parameter als Matrix H darstellen. dungstrager ein zusatzliches Rauschen. Diese
Tabelle 3-1. Zusammenhang zwischen Transistorkennwerten und /z-Parametern.
KenngroBe /i-Parameter Dimension

Eingangswiderstand r^ ^lle=^ie Widerstand


Spannungsriickwirkung D hl2c=Kc dimensionslos
Stromverstarkung ^ h2U=Ke dimensionslos
Ausgangsleitwert g^ hii. = K Leitwert
164 3 Aktive Bauelemente

Rauschspannung hangt vom Innenwiderstand Das Transistorrauschen hangt vom Typ, von der
R^ der Signalquelle, der Temperatur 7], der Fre- Arbeitsfrequenz / , vom Kollektorstrom IQ und
quenzbandbreite d/, dem Transistortyp und sei- dem Innenwiderstand R^ der Signalquelle ab. Es
nem Arbeitspunkt ab. Diese Bedingungen sind gibt rauscharme Transistoren, die durch ihre
bei der Berechnung zu beriicksichtigen. Die Bauart wenig zusatzhches Rauschen erzeugen.
Rauschspannung bezieht man zum besseren Der Hersteller gibt die Rauschzahl fur die Ar-
Vergleich mit der zu verstarkenden Signal- beitsbedingungen an, uberwacht sie bei der End-
spannung auf den Eingang des Transistorver- priifung und sortiert teilweise die unterschied-
starkers. lichen Transistoren in verschiedene Rausch-
Die Rauschzahl F ist der Faktor, um den die leistungsgruppen.
Rauschleistung der Signalquelle am Eingang Das Transistorrauschen ist frequenzabhangig,
des Transistors vergroBert erscheint. Den Tran- wie Bild 3-15 zeigt.
sistor selbst betrachtet man als rauschfrei. Ub-
12
lich ist auch das Rauschmafi F* als logarith-
OQlO
miertes Verhaltnis aus der gesamten intern
anstehenden Rauschleistung P^j im jeweiligen
Arbeitspunkt und der Rauschleistung des
Quellwiderstandes PR. Bild 3-14 zeigt die Zu-
sammenhange.
cc 2
7 8 910 F >
I I I I 0,1 10 102 10^ 10^
Frequenz f/kHz
Bild 3-15. Rauschmafi F* in Abhdngigkeit von der
Frequenz f.
10 FVdB
Bild 3-14. Rauschzahl F linear und Rauschmafi F* Aus Bild 3-15 ist folgendes zu erkennen: Unter-
logarithmisch. halb 1 kHz steigt das RauschmaB merklich an,
wahrend es zwischen 1 kHz und der Grenzfre-
Fiir die Rauschzahl F und das RauschmaB F*
quenz /j/j8 auf einem niedrigen Niveau bleibt
(die Einheit ist dB) gilt
und oberhalb der Grenzfrequenz /g schnell an-
steigt. Da hier auch die Verstarkung abfallt,
(3-14) wirkt sich dieser Anstieg aber weniger deutlich
aus.
F*=10-lg(-^ldB. (3-15) Zu jedem Kollektorstrom IQ gibt es einen Quell-
wider stand R^, bei dem die gesamte Rauschlei-
stung PR ein Minimum ist. Mit zunehmendem
Das Nutzsignal und das Rauschen werden im Innenwiderstand der Signalquelle verschiebt
Transistor um den Faktor v verstarkt. Das un- sich dieses Minimum zu kleineren Kollektor-
ter Beriicksichtigung der Rauschzahl berech- stromen 7^? wie Bild 3-16 zeigt.
nete Signal-Rauschverhaltnis bleibt auch nach Im allgemeinen ist die Rauschspannung am
der Verstarkung erhalten. Ausgang einer Transistorstufe zu minimieren.
Die Rauschspannung U^ hangt nur von der Ein zunehmender Innenwiderstand der Signal-
Schaltung, der Temperatur, der Frequenzband- quelle vergroBert die Rauschspannung, weshalb
breite und dem Transistortyp ab, nicht aber von die Auswirkungen des Innenwiderstandes R^
der SignalgroBe. Sie ist deshalb bei kleinen auf die Rauschzahl F zu beachten sind. Fur den
Signalspannungen am Eingang eines Verstar- Anwender ist weniger die Rauschzahl einer
kers von Bedeutung. Hier muB man durch eine Schaltung wichtig, sondern das aus dem Ver-
rauscharme Eingangsstufe ein giinstiges Signal- starker kommende Signal-Rausch-Verhaltnis.
Rauschverhaltnis erreichen, da die nachfolgen- Bild 3-17 zeigt fiir verschiedene KoUektor-
den Verstarkerstufen das Nutzsignal nicht vom strome IQ die effektive Rauschspannung (7R des
Rauschen unterscheiden konnen und beide Transistors in Abhangigkeit vom Innenwider-
gleich verstarken. stand Pj der Signalquelle.
3.1 Transistoren 165

lb
achten, daB die Transistorschaltungen trotz
-/ Streuung der Transistorparameter in der Se-
rienfertigung in gleichbleibender Qualitat her-
r/
stellbar sind und wahrend ihres Einsatzes zu-
^ in ^ verlassig arbeiten.
-o 10

\ 3.1.4.1 Reststrome
CD
E
s: Fiir die normalerweise stromlosen Strecken,
o
namlich KoUektor-Basis und Kollektor-Emit-
^ r.
ter in gesperrtem Zustand, gibt man mittlere
Reststrome an. Sie hangen von der angelegten
Spannung und der Sperrschichttemperatur ab.
Tabelle 3-2 zeigt die Kennzeichnung der Rest-
n strome.
0,1 1 10 100
Quellwiderstand /?j / kl2 Tabelle 3-2. Kennzeichnung der Reststrome.
Bild3-16. Rauschmafi F* als Funktion des Quell-
widerstandes R. (Parameter: Kollektorstrom I^). 1. Buchstabe 2. Buchstabe 3. Buchstabe
500 r
positive negative O: 3. AnschluB offen
Elektrode Elektrode R: 3. AnschluB mit

A
-200 2. Elektrode iiber
\ ^ einen Widerstand

J
0)100
/C = 1 0 M A
- 'y verbunden
50 '^ S: 3. AnschluB mit
0,1 mA
——' ^ 2. Elektrode
r ^ kurzgeschlossen
20 mA
(shorted)
10
1 10 100 1000
Innenwiderstand der Quelle R^ I kO. Bild 3-18 zeigt die entsprechenden MeBschal-
Bild 3-17. Breitbandige (10 Hz bis 16 kHz) Rausch- tungen fur Reststrome.
spannung U^ des Transistors als Funktion des Innen-
widerstandes R^ der Signalquelle (Emitterschaltung; 3.1.4.2 Sperrschichtkapazitaten
Parameter: Kollektorstrom I^). Die sehr dunnen Sperrschichten bilden Kon-
densatoren, deren Blindwiderstande sich bei
3.1.4 Weitere Kennwerte hoheren Frequenzen bemerkbar machen. Dabei
Wahrend die zuvor behandelten KenngroBen stort die Kollektor-Basis-Kapazitdt CQ^ am
zu einfachen Schaltungsberechnungen heran- meisten, da sie, um die Spannungsverstarkung
gezogen werden, beschreiben die Kennwerte in der Schaltung vergroBert, scheinbar parallel
diesem Abschnitt wichtige Eigenschaften eines zum Eingang hegt. Die Basis-Emitter-Kapazitdt
Transistors, die der Planer einer Schaltung ken- CBE ist strenggenommen keine Sperrschichtka-
nen muB, um diese mit den geforderten Eigen- pazitat, da die Basis-Emitter-Diode im Durch-
schaften auslegen zu konnen. Dabei ist zu be- laB betrieben wird; sie wirkt sich aber bei hohe-
a) b) 0

^T (^ ^CBO / — N

'
^ ' r
'
«n 1 '
S
Bild 3-18. Mefischaltungen fur Reststrome.
166 3 Aktive Bauelemente

ren Frequenzen deutlich aus. Typische Werte


fur einen Kleinsignaltransistor sind: C^^ = 8 pF
bei L/BE = 0,5 V und Q B = 4 pF bei C/CB = 5 V.
Die KoUektor-Emitter-Kapazitat CQ^ hat we-
gen der abschirmenden Basis nur geringe Be-
deutung.

3.1.4.3 Transitfrequenz
Mit zunehmender Frequenz nimmt die differen-
tielle Stromverstarkung j8 eines Transistors ab.
Die Frequenz, bei der p auf 1 abgesunken ist,
hat die Bezeichnung Transitfrequenz fj. Bei die-
ser Frequenz kann man Transistoren nur in
Ausnahmefallen sinnvoU betreiben. Die Tran-
sitfrequenz fj ist eine RechengroBe, die das Ver- -'CEO ^CER ^CES
halten des Transistors bei hoheren Frequenzen Kollektor-Emitter-Spannung UQ^
beschreibt (Bild 3-13). Bild 3-19. Ausgangskennlinienfeld bei hohen Span-
nungen, ohne BeriXcksichtigung des zweiten Durch-
3.1.4.4 Schaltzeiten bruchs.
Transistoren in Digitalschaltungen sind mei-
uber die Betriebsspannung hinaus erhohen und
stens bis zur Sattigungsspannung durchgesteu-
ert Oder stromlos (mit Ausnahme von schnellen damit den Halbleiter zerstoren kann.
digitalen Schaltern, den ECL; Abschn. 12.1.5). Die Basis-Emitter-Strecke eines Transistors
Der Kollektorstrom /^ folgt dem Basisstrom I^ halt in Sperrichtung haufig nur Spannungen
nicht tragheitslos; denn das Fiillen und vor al- von 3 V bis 7 V aus. Wird die Spannung groBer,
lem das Ausraumen von Ladungstragern in der dann tritt ein Zenerdurchbruch ein. Da die Ba-
Sperrschicht kostet Zeit. Die entsprechenden sis-Emitter-Strecke in den meisten Schaltungen
Verzogerungs-, Anstiegs- und Abfallzeiten sind in DurchlaBrichtung arbeitet, ubersieht man
die charakteristischen Schaltzeiten fur diese diesen Grenzwert leicht.
Transistoren.
3.1.5.2 Strome
3.1.5 Transistor-Grenzwerte Zwischen Kollektor und Basis wird normaler-
weise kein Strom zugelassen.
Grenzwerte durfen nicht iiberschritten werden, Der Kollektorstrom I^, der iiber den Emitter
sonst wird der Halbleiter zerstort oder irrever- abflieBt, erwarmt die Kollektorzone. Wird IQ ZU
sibel geschadigt. Folgende Grenzwerte sind von groB, dann flieBt er nicht mehr gleichmaBig
Bedeutung: iiber die ganze Kollektorflache, sondern bevor-
zugt einen Kanal, der durch die Erwarmung
3.1.5.1 Sperrspannungen niederohmiger wird und den Strom noch mehr
Der Hersteller gibt fiir jedes Elektrodenpaar ei- ansteigen laBt. Die Verlustleistung entsteht in
nes Transistors die hochste zuldssige Sperrspan- einem wesentlich kleineren Volumen als beab-
nung Uj^^^ an, die dieser ohne Schaden dauernd sichtigt und zerstort das Kristallgefiige. AuBer-
aushalt. Bei der Kollektor-Emitter-Spannung dem kann ein zu hoher Kollektorstrom die
ist auch die Beschaltung der Basis maBgebend, meist diinnen Bonddrahte, die den Kristall mit
wie Bild 3-19 zeigt. den Lotanschliissen verbinden, durchschmel-
Im Interesse einer hohen Zuverlassigkeit der zen. Deshalb begrenzt man den Kollektorstrom
Halbleiter sollten die Sperrspannungen hoch- auf einen absoluten Maximalwert und auf klei-
stens zu etwa 90%, besser nur zu etwa 70% nere spannungabhangige Werte, den zulassigen
ausgenutzt werden, sofern nicht andere Effekte Arbeitsbereich (Abschn. 3.1.5.5).
eine weitere Verringerung verlangen. Dabei ist Der groBte zulassige Basisstrom ist zwar kleiner
zu beachten, daB beispielsweise eine induktive als der Kollektorgrenzstrom, aber wesentlich
Last die anhegende Spannung kurzzeitig weit groBer als der zur Stromverstarkung erforder-
3.1 Transistoren 167

liche Basisstrom. Wegen der steilen Eingangs- 1,^

kennlinie kann der zulassige Basisstrom trotz-


dem leicht uberschritten werden, wenn die Ba- 5 1,0
sis-Emitter-Strecke aus einer niederohmigen
Quelle gespeist wird. ?0,8

3.1.5.3 Temperaturen 1 0,6


Bei hohen Temperaturen - bei Silicium mehr als 0)

200 °C - setzt die Diffusion der verschiedenen >


t 0,4
dotierten Schichten ein und andert irreversibel CD
E
die Kristallstruktur. Kurze Temperaturiiber- o
<= 0,2
schreitungen, beispielsweise durch Einloten,
werden leichter iiberstanden als lang andau- n
ernde. Als Grenzwert dient die maximal zulas- -50 0 50 100 150 200
sige Sperrschichttemperatur ^j (junction tem- Gehausetemperatur ^ / °C

perature), die nicht uberschritten werden darf. Bild 3-20. Zulassige Verlustleistung und Gehause-
temperatur.
3.1.5.4 Verlustleistung
3.1.5.5 Erlaubter Arbeitsbereich
Hohe Temperaturen entstehen in Halbleitern
meistens durch die interne Verlustleistung Py in Bei Transistoren fur kleine Leistungen ist der
der Basis-Emitter- und in der KoUektor-Emit- Arbeitsbereich durch die Grenzwerte von
ter-Strecke. Es gilt Strom / c Spannung UQ^ und Sperrschichttem-
peratur Tj begrenzt. Bei bipolaren Leistungs-
transistoren kommt durch den sekundaren
Die Verlustleistung in der Kollektor-Emitter- Durchbruch eine zusatzhche, meist engere
Strecke IQ - UQ^ ist wesenthch groBer als die Grenze dazu, wie Bild 3-21 zeigt. Der sichere
vernachlassigbar kleine Verlustleistung in der Arbeitsbereich (Safe Operating ARea, SOAR),
Basis-Emitter-Strecke I^ • JJ^^. Deshalb gilt mit verlauft unterhalb der Verlustleistungshyperbel
guter Naherung und gibt Strome und Spannungen an, mit denen
der Transistor dauernd belastet werden darf.
i^v = / c Ur (3-16) Der verbotene Arbeitsbereich ist in Bild 3-21
grau gekennzeichnet.
Die Verlustleistung Py entsteht zum groBten Da der zweite Durchbruch uber eine punkt-
Teil in der Kollektor-Basis-Sperrschicht, von formige Erwarmung der Sperrschicht zustande
wo aus sie iiber das Gehause an die umgebende
Luft und bei Leistungstransistoren, ca. ab 1 W groBter zulassiger Koltektorstrom
Verlustleistung, iiber den Gehauseboden an groKte zulassige Verlustleistung
einen externen Kiihlkorper abgegeben werden •^Cmax \ /
verboten
muB. mogljcher Verlauf des
PrnaxX I 2. Durchbruchs bei
Die maximale Verlustleistung gibt man im all- Uberschreiten zulassiger
zweiter
Werte
gemeinen fur 25 °C Umgebungstemperatur oder Gefahr des 2. Ourch-
Durchbruch
25 °C Gehausetemperatur an. Bei hoherer Um- ,bruchsoberhalbder second
Grenze Breakdown
gebungstemperatur ist die Verlustleistung zu
groSte zulassige
verringern (was man im englischen Sprachge- Kollektor-Emitter-
eriaubt
brauch als derating bezeichnet); sie darf aber bei j s . Spannung

\^^^^—>

i
Temperaturen unter 25 °C nicht iiber den Nenn- Safe erster
wert erhoht werden. Bild 3-20 zeigt den Zusam- Operating
/ Durchbruch
Area
^ / avalanche
menhang zwischen zulassiger Verlustleistung ^CEmax
/ Breakdown
und Gehausetemperatur. Zu beachten ist, daB Ua
es mit Luftkuhlkorpern normalerweise nicht ge- Kollektor-Emitter-Spannung UQ^
lingt, ein stark Warme abgebendes Transistor- Bild 3-21. Sekunddrer Durchbruch und erlaubter Ar-
gehause auf 25 °C zu halten. beitsbereich bei Leistungstransistoren.
168 3 Aktive Bauelemente

kommt, sind kurzzeitige Uberschreitungen des Der erste Buchstabe kennzeichnet das Halb-
sicheren Arbeitsbereiches und sogar der Ver- leitermaterial. Es bedeuten:
lustleistungshyperbel ohne Schaden moglich. A Germanium
Wegen der sehr kleinen Masse des Transistor- B Silicium
systems und der endlichen Warmeableitung C GalHum-Arsenid.
zum Gehause betragt die thermische Zeitkon- Der zweite Buchstabe kennzeichnet den Ver-
stante eines Leistungstransistors oft nur 1 ms, wendungszweck, fur den das Halbleiter-Bau-
fur punktformige Erwarmung noch wesentlich element in erster Linie entwickelt wurde:
weniger. Bild 3-22 zeigt den zulassigen Arbeits- A Signaldiode
bereich eines bipolaren Leistungstransistors. B Kapazitatsdiode
Die Halbleitereigenschaften hangen in hohem C Transistor fur kleine Leistungen (i^th^
MaB von der Konstruktion und dem Herstell- 15 K/W) fur niedere und mittlere Frequen-
verfahren ab. Die Grenzwerte darf man nur zen
nach verbindlichen Herstellerangaben ausnut- D Leistungstransistor {R^^< 15 K/W) fur nie-
zen. Zu beachten ist ferner, dafi Halbleiter ver- dere und mittlere Frequenzen
schiedener Hersteller mit gleicher Typenbe- E Tunneldiode
zeichnung unterschiedliche Eigenschaften auf- F Transistor zur Anwendung im Hochfre-
weisen konnen. quenzbereich {R^^ > 15 K/W)
Grenzwerte soUte man wahrend des Betriebs L Leistungstransistor fiir Hochfrequenz {R^^ <
nicht dauernd, sondern nur kurzzeitig ausnut- 15 K/W)
zen. Werden Grenzwerte uberschritten, so muB N Optokoppler
das nicht zwangslaufig zu einem Totalausfall P Fotohalbleiter (Fotodiode, Fototransistor,
des Halbleiters fuhren. Haufiger, aber auch Fotothyristor)
schwieriger zu ermitteln sind Teilschaden, die Q Leuchtdiode
nicht ohne weiteres zu erkennen sind und die R Thyristor-Tetrode
fruher oder spater zu einem Ausfall des Bauteils S Schalttransistor
fuhren werden. T Thyristor
U Leistungsschalttransistor
X Guneffektelemente
Y Leistungsdiode (Gleichrichter)
Z Z-Diode
Typen fur professionelle Anwendungen sind mit
einem dritten Buchstaben gekennzeichnet, der
keine standardisierte Aussage hat.
Die meist dreistellige Ordnungszahl hat keine
technische Bedeutung, Halbleiter mit aufeinan-
derfolgender Ordnungszahl miissen nicht unbe-
dingt ahnliche Eigenschaften haben.

2 5 10 20 50 100 200 3.1.7 Transistordatenblatt


500
Kollektor-Emitter-Spannung UQ^^ I V
Bild 3-23 gibt ein ausfuhrliches Datenblatt der
Bild 3-22. Zuldssiger Arbeitsbereich eines bipolaren Transistoren BCY 58 und BCY 59 als Beispiel
Leistungstransistors.
wieder. Es enthalt alle wichtigen Transistor-
daten, die zur Entwicklung einer Schaltung er-
3.1.6 Typenschliissel fiir Halbleiter
fordedich sind. Dabei sind Transistoren glei-
Die Typenschliissel der europaischen Halblei- cher Bauart mit wenig voneinander abweichen-
terhersteller enthalten folgende Angaben: den Daten meistens zu einer Familie zusam-
- aus welchem Grundmaterial das Bauelement mengefaBt und gleiche Daten gemeinsam fiir
hergestellt ist, alle dargestellt (z.B. bei einem Chiptyp, der in
- ob es sich um eine Diode, einen Transistor verschiedene Gehause eingebaut wird).
oder ein anderes Teil handelt, Das Datenblatt bezeichnet zuerst den An-
- fur welche Anwendung das Teil gedacht ist. wendungsbereich, die Herstelltechnologie, die
3.1 Transistoren 169

t 1

O '«-« O O lO
to CO O CO

cd <0 (d cO fltt fl$


a a a s fl H

p !D JD M M

o 8
Mm/
8

I
«:
I
0) •+»

% § CM
o o
M/

o c> 1
W to o n
> ^> 1 o
i 1
i
O
a» 00 o
lO
lO CO CO
l< H
to

u
n
«<< o o
X
>H
o o
o
o o

iin
o X
o
O oo
e4 T^ W Oi o
lO
o
kO
lO ea CM
ei O
eo • to «D
E « H
IH
5 1 1^ n
M H
«8
H
(8
M
«
H
as
W
o
o
o
lO
CO
H
CM
«
8 a a a a > 1 i

<
t

III,1 It
O
K
fl K

•+» ••»
o c
fl H
*
OO t-

t1
C c -P V M o o

§1 ^*-»
CM
a« Pk
CO
in"
> 5> Ol
1
c ^ o to
J t CD
CM eg

• — • *
xem
<: 8>
o
lO
e
lO
H
o
PQ
tt fi ^11
H
Vtt

n ex fM
0 ^^
Vl» V «
9
ti> a
a
a O <a> «e

>
m (3
^ «<
O CD B a a,
m
U
• « 1 O
.a «o u o
U Ik t i e CM : < <M T ^ CM K
M •<* 0
• ^ jo ^' <jr
9>
0,
W
fi :»
•p
a +> fl
n

D SS
^ Q
6 M
4>
to
1

-I*
(9
(4
»
M
u
K
c
•«
u
0) O C9
V

o CM
o <=»
,
^,-\<'X>> h OB »» M ^ Ht M »-(
N M
i e> cw a

'-?
•-I « •H t J -•a a CD •
o - C »
«< u « 0
"•» a
o «
"•»
w
-p
u> u >
+» » 3 0er»o «> > «Q
«> CD ^
o ^*
-H ^ ^ •p
to
S
"•»
A «>
> lO
a
CO
1
to
Z ^ JK

«
^
c
(3 k
1 n
h k
u
•H
O II ea it
u , s>
«
^"
'H tl
^
«
PS
4> —1 0) «
ua 1 o
••*
O
«•»
o
"f»
«
X) ^ pi -H
« Po ^« p o
H 1
-P
» (s w S
O «« u
n
:3
o
&<
n
a «
w
a
cd
•0
Jtf
o
ja:> a
(0
M
A
u m
•H P .jq P «i
M
A
as
h «ci
09
a
i t' «> « M H <H «
a <H
<s 0)
w •»< it

«
cs
w a»
a a «
X
[ 9^
Wi
o

o
iC » o
«

CD
« » M^^
-H j a
o
f< «o
H
a «
OS . o
a:
«H
170 3 Aktive Bauelemente

O 1
2 CO
i ^^ CO

X
.1
/vH
s^
O
o
«-( CO
© (D
O t
^-N
o o
O -<-<
lO 1
o
O

O
(D
lO
'^©
•H
©
DJ
o
at

to
< o
CM CN
r\
1 1Vt \\
\I \\
•1
• O
lo o
00
iO o
-*
t-
CO
»o II 1 \\ V\\
_J L
~^^^.> o 1
O CO CM
:
< l\« msfjJt j-C
1 -^ 1
, ©
•^H to
o CO
o •*
i / o
*-< •S.II
>~'
© t^
to 1
© ©
<» 1
©
©
)0 ©
M
©
CO
o
CO
/ O
^
, © CO ©
to
CO ©
©
-^ CO
CO
/ / r
©
<H
OI
' w
M
' W / /
M l '-V ,—K ,-«N
M o © ©

>
W
•sn
T^
© CO
©
© '^ »o ©
-*1o © '^"' in 1
CM © CO © n M © CM O o ix> o^ 1
to CO IM •
<N CI r-" T-* d"
CN
.f Cft W TH
A9=^^n{3q t/
O M
© ©
<*
t
30/7 laq V
Cvl CO iJ ©
© © Ol /Ml © R T-« © 00
It Cl» Ol t- 1 'w «(t* M o [
_ J__TT^ j^ o
T-( © CM lO CM ;^ —
L "Sl -
U
W

U
©

ii /vtt
y-i

VI U
t«4

n n « h
^ £
LO
-N
J «->
X
,s^
^^^
L-g|
c f^ ~

n V «
Ol
0)
Ti
« CM
r
II
KL1\1
r M
ff
CM
":>^ \ <
M to
03 n n n
<M
XI Xi
\7\
\//\
{// \ J\
1
^
H U
HO
§
,i<
•'
Sn

CI ©
» M
.,

0
«9 -P
rz^
r[ 1
1 "\
^
J J
I-!
CD •< « < g H ^- / / uL
1 f EZ ~ "*""
i o « a © "M 4) P h -P 7/ ry_ i \\\
Px > l O © l O «H©T-»©CHlO 1 tt 33 t as •H
J /.
1
1 •
a» u
a
o II
n
*-»
li
M
n
u
1-H *-<
H
«
i
a
on
>i i H M « ^
1 «
k

© •+»
0 n
M (4
1 —I
<H l»
y r- f-
f
2 f x.1I
> /
^ M W » » A b e 0 fl Xt « d bo
1 ••»
n © © © © © © © © r-i C9 O fl «8 S» O >. ce (3
L j_Li _ X £. X It t LJ^ b
1 V rfj S> M P W » M P M o p n (0 M a n B M « f
o Oi N bfi h q H O M 60
>0 ^ -rt t 3 -rt • « "H »Ttf -rt «d IH •*< M a 01 tC o o o b 1
1 « c » 0 a>d » 0 o)d ««> fi "H 0) d
1 <v : H ^ > < n »J CO >!i CO . J <
r-t x> 0
©
ja B , 0 0 , 6 0
> Vuug^O/iaqz/
0/ jaq v

N 1
> > > > > >i%%%
1 1 ? 1 1 > > a a a a a {
n A PH &i 1

1
a>l
>oi ^-s ,-«,
O
I 1 o o o iO t- ^-^ © o o o
Wl ^ TH rS -* © o lO CM
o
[ Ol lO t- » T-l t-
At co © 1
; ; © c> • o o © \/it to to 1
• o o o © c3 O O © lO *~-' TH 1
OOI © lO O lO CM Ws »o t* 1- ^ 1
« T^ fO t- lO
»OI _ CM 1
1 © © © M
><| ^ ^ rH n »- o o O lO ©
a ni © lO © r» CM
4> M CM t"- A <o
.o
S> \/« VB VII VII V« /\B /N.II H H 1 II /\n 1 « tt tt >^n It vit vM

s
S
> M
©
A
H •P -P -P •\»

<0 CO K 03 CO ® 'rt' a « (D OS

A
1
1
»
"O
a M
H
O
M
n
O
H
pq n
©
M
U
W
a
W ^w
S>
A
J§ § § ^ ^ ^^ '•H
H
O
O
©
9 1
P^ P p P P P t3 P P P PN
1 ''
1 ••*
1 »
1 'rt
C5 ©« «»
© ^
o o bO 1^
a o o S
©
^ S a
^ ^ -^ § ,W
o© O » M
O 1 B « O CM « W
©
^li ^
©
• >
a
< > « & JQ
«j d lo lO i i
lO >0
M

»0
w
»4 >
-n
« C
>

J C I I 0 » 0
> >
..> ^ «.
J 3 <
>4
be
§^
a
« oi
m
•> CM.
CVi©
u
©
» CM
It
«(>
•O
•-3
Cd
TH
'i|- CM
O
M
©
M
1 n •*»
« m n -^ -^ lO fci o f l
§1 •p 1
n A
1
A § R U S H
«
©
©
©
CM
©
« ©


^ w f l f l i l l
cs n o
S ^ «
a<^
a
»
tf M
A M
M
A M
M © © u ©
1 H tsq H cq I K jd It 1 es h•< M M M « « « -P M M
•H ( 4 © © © © B n h © © «1 M ^
a
- P » » » « - + * -^
• » - i O © 0 0 « » - < ^ " '
M

^
ja •>
M
^i ^ i^
-p
• H O ©
©

©
©
1 o .r > > >
© © » n3 »0 M M
N M

» >
» ^ * - ^ » ' * * ! a r

> 2 c e © - H -
B ^ -p © a © O © CM P Cr© lO CXrM H ©
(3 It I K II B w 1- - H «
It 11 n 11
£ « M « -^ ^ fi 2.»

1 •*•h l
1
- o

+ »A P S
P PS P
m H Kl CQ CS
S iM u
M o
(4
- PnW
1'
i
M M
©
t K
M
O ©
•P M
J<
>
M
©
p .
«
OiM
©
M
.
© © ©
M P
H
£d
H {30
«^ p ^ P^ P^
H M i«< «q XI » n
1 C3 « C>
vi A «
O t? Ji<
• p p i a p ^ ^ - P p j ^ p
A
M

1 «1 ^ » JM
>| M a i « « » -^aw <~ie»
• P «fi4 »
M
-H
(B
fK
V
•9 T<
•rt »
-iH
fl> 5-2 -^p -rt
»
M
o>
-H
«
•;:! <« «*
* M « > ^ ' d « » ' H 4 » v <
( 3 ^ I B 4 ^ d M « » - P «
•** *

1
§1
V
i - 4 ^ ^ , £ » , 0 •»^,0 M ^ "*> «
•H * M *
o
ja «D XI .a ^ XJ W » * x» xs «.0 d X > P M J B ^ j a
1 M W M 1 M A i4 cs o a
H «$ W IS
3.1 Transistoren 171

'"T"o rr
1
o j

-«Mffl
<c IT
(
o 4. in
If 3 _ —in—
O
1 CM
<t> K CO

1
FF=N'
1v ^ \ ss
yi L k
_^'y
^ ^

o
VUJ/^/
M\ <
E

CM
It

K\
\ \ \
)l j

i |
1 y\ \j 1
\
II I I 44]^\iTnV~T^
ij1^
g
II

11J,1
1 I I I cp|ca\| ca\| 1 j c^\ |

llltl 1 1 1 V„ llillJ 1 t M
|

«o o >—'

>QC HJ-

it -H a 9^rTi
^ 1 « ^
o o>

lO
CO
O VU
lO >— U J 0 «s

>
O >
o
172 3 Aktive Bauelemente

!> >
CM UO
ico ^
II H
CO QQ

-loo 6)
kn in
A> >
MO O
\m m
lilll 1 1 1lli t li il.,L J.„
8
O VU/'

— J —
q
-fui
in
jzcz;^TP
i° i \
!1
1 ^ '' j 1 1
•-i
m
MI
11 ™
::
-1
<

Itllll 1 { III I I \ II I I
o o
(M/>I)/"'''Z
ZHIAI / -^/

^^^ffl
fl^ffl
I4M
<
E

o
I
o
ffflM
1 i 1 1 1 1 I t ill 1 » 1 < 1 f 1 1 1 1 1
o
A/^"30^ A/
3.2 Analoge Grundschaltungen mit bipolaren Transistoren 173

Normbezeichnung des Gehauses und stellt die-


ses in einer Zeichnung dar. Zusammen mit den
Arbeitsspannungen und -stromen sowie der
Stromverstarkung, der Transitfrequenz und der
Rauschzahl stehen die wichtigsten Daten auf
einer Seite und gestatten eine schnelle Voraus-
wahl aus der Vielzahl der angebotenen Typen.
Es folgen die elektrischen und thermischen
Grenzwerte sowie zahlreiche Kennwerte, auch
die /z-Parameter, wobei viele Kennwerte auch in
Diagrammen und Kennlinienfeldern libersicht- Bild 3-25. Transistorverstarker in Emitterschaltung.
lich dargestellt sind. Besonders diese Dia-
gramme erleichtern die Auswahl eines geeigne- der Basis-Emitter-Strecke (im 3. Quadranten)
ten Typs und die richtige Dimensionierung der einen verzerrten, d. h. nicht mehr sinusformigen
Schaltung. Mitunter sind in den Datenblattern Basisstrom /g (t). Dieser Basisstrom verursacht
auch MeBschaltungen wichtiger Parameter ent- iiber die Stromverstdrkungskennlinie (im 2. Qua-
halten. dranten) einen fast linear vergroBerten Strom
Ic (t) im Kollektor. Am Kollektorwiderstand R^
entsteht dadurch ein Spannungsabfall U^^^ der
3.2 Analoge Grundschaltungen zwar wesentlich groBer als die Eingangsspan-
mit bipolaren Transistoren nung (7gE (r) ist, aber kein hneares Abbild von
ihr darstellt. Die Kennlinie des Kollektorwider-
Die Grundschaltung bestimmt die Eigenschaf- standes R^ ist in das Ausgangskennlinienfeld im
ten bei der Verstarkung von Wechselspan- 1. Quadranten eingezeichnet. Die vom Kollek-
nungssignalen. Sie wird nach der fiir den Ein- torstrom /^ am Kollektorwiderstand RQ er-
und Ausgang gemeinsamen Elektrode benannt. zeugte Signalspannung U^^ verringert die Ver-
Transistoren kann man in drei verschiedenen sorgungsspannung U^ auf die Kollektor-
Grundschaltungen betreiben; jede hat ihre be- Emitterspannung (7CE i^X die irn 4. Quadranten
sonderen Vorziige und Nachteile (Bild 3-24). dargestellt ist.
3.2.1 Emitterschaltung Diese einfache Emitterschaltung hat eine sehr
hohe Verstarkung, aber drei schwerwiegende
Die Emitterschaltung hat sich aufgrund ihrer Nachteile:
guten Spannungs- und Stromverstarkung zur
haufigsten Verstarkerschaltung entwickelt. Bild 1. Die Eingangsspannung wird am Ausgang
3-25 zeigt einen Transistorverstarker in Emit- verzerrt wiedergegeben.
terschaltung. 2. Abweichungen der iiberlagerten Eingangs-
gleichspannung konnen den Transistor
Fiir den einfachen Transistorverstarker in stromlos machen oder die Basis-Emitter-
Emitterschaltung nach Bild 3-25 ergibt sich: Strecke durch Uberstrom zerstoren.
3. Temperaturanderungen im Transistor wirken
-PR, sich wie Anderungen der Eingangsgleich-
Verstarkung: v^ = (3-17)
spannung aus, d.h. die Gleichstromverhdlt-
nisse sind nicht stabil
Eingangs- ^ ^ ^T ^ 4QmV
widerstand: ^^ I^ ^ I^ Ist die Eingangsspannung so klein, daB die Ein-
gangskennlinie in diesem Bereich naherungs-
Ausgangs- j^ ^ p^ weise eine Gerade ist, spricht man von kleinen
widerstand: ^^ ^ (3-19)
Signalamplituden. Dafiir hat der Verstarker bei
Zur erhohten Temperaturspannung, Uj = 40 mV der Wechselspannungsverstarkung folgende Ei-
(Abschn. 3.1.3.1). Bild 3-26 zeigt die Spannun- genschaften:
gen und Strome der Emitterschaltung nach Bild Fiir den Eingangs widerstand gilt:
3-25 in vier zusammengesetzten Kennlinien.
Die sinusformige Eingangsspannung U^^ (t) er-
zeugt an der nichtUnearen Eingangskennlinie mit /B= als mittlerem Basisgleichstrom.
174 3 Aktive Bauelemente

G ! ^ =F^
C/5
G . s^ N
. O W)
>
_top
(U (D too tz) G
G too o
to ^ <D -G ^ h B a
-^ I- _5 a G :c^ -g O PQ , o .^ .top G S G -22 too
too 22
^ G C3 G •C
D^ GL Go «^ G Sb*^
B ^^ o ^ G -^ 2 G
SI c/3 c/5 >
^ O G
Q tiO G e g o^ £
I
.^-

I
-J Q --, -^ PJ (D • ' ^ CD oj G G

too
G
cd
too
N
G
(U
G

8 ° -

too 03
G
m
too
cr
<D
a + CQ.
+ a
C/3
G 73
o o
< ^ II II II 11
£3 03

Q<

I 73 a
1 a
o
c< ^
o
top ^ b1^" o o + ^
o
^^ ^^
c< o<.« + Q<
(^

too o <
rn
too U
G G
!/3 B > +
G 1/3
G
>o B
o
+
U :c«
G
fTl
M b" a
03 t/3
H b|b
<D VJ
II
b|b ;::) | b ::• | b ;:i |;D bib"
1/5

G 3 S3 !^ ..«|.
O d G

''B H
tyj

too
too too G
G G G
G
43

G
G

o w ^
::5
o ^•d
3.2 Analoge Grundschaltungen mit bipolaren Transistoren 175

Die Eingangsspannung U^ ergibt sich zu:


(U
o
N >
§2 l/=L/«P.+/,
WD ,
§ (L» C ^ ' ^ bO r^
.^^ O O W) W ) ^ G ^
W) ^
mit L/R als mittlerer Basis-Emitter-Gleich-
^ ^. a .2^ :c3 spannung.
£ ^ S S o PC ^ i^ cr C T3 < ^
I I Zur Berechnung der Wechselstromeigenschaf-
ten, d. h. der Reaktion der Schaltung auf eine
Anderung der Eingangsspannung, wird die
Gleichung fur die entsprechende GroBe diffe-
renziert. Damit entfallen die konstanten
(Gleichstrom-)Anteile, und es wird mit reinen
WechselstromgroBen gerechnet. Somit gilt
Eingangsspannungsanderung:

•S Ausgangsspannung:
U, = Us-Ic'Rc.

U,= Us-pi^'R, (3-20)


a
II II Ausgangsspannungsanderung:

Spannungs verstarkung:
^
a dU.

c< ^ Q<

II
bib" Der Ausgangswiderstand wird im wesentlichen
b |b
5 E durch den Kollektorwiderstand R^ dargestellt.
1st er sehr hochohmig, dann ist der parallel ge-
+ -S K? schaltete Ausgangsleitwert g^ (Abschn. 3.1.3.3)
zu beriicksichtigen.
n
3.2.1.1 Emitterschaltung
5 Q mit Stromgegenkopplung
Bei dem in Bild 3-27 b dargestellten Transistor-
verstarker mit Stromgegenkopplung verursacht
60 eine ansteigende Eingangsspannung einen an-
c steigenden Basisstrom. Gleichzeitig wird der
4::; Kollektor- und der Emitterstrom um die Strom-
o
e) verstarkung jS verstarkt. An der Basis-Emitter-
^ "fl strecke liegt nicht mehr die ganze Eingangs-
•^ o spannung U^, sondern nur die Differenz zwi-
:^ II schen U^ und dem Spannungsabfall U^ am
^ ^ Emitterwiderstand R^. Die vom Ausgangs-
176 3 Aktive Bauelemente

Stromverstarkungskennllnle Ausgangskennlinjenfeld

Kennlinie des
Kollektorwiderstandes RQ

10V
/?c = = 1 k^
^ 10mA

EIngangskennlinJe

Bild3-26. Spannungen und Strome in der Emitterschaltung nach Bild3-25.

Bild3-27. Transistorverstdrker in Emitterschaltung mit Stromgegenkopplung und Potentialen an den Transi-


storanschlUssen.
3.2 Analoge Grundschaltungen mit bipolaren Transistoren 177

Strom erzeugte Spannung U^ wird gegenpheisig


(3-17a)
in den Eingangskreis zumckgekoppelt und setzt
dadurch die Verstarkung herab. Diesen Vor-
Meistens ist jS > 1 und r^^ <^{1+P)- R^. Damit kann
gang bezeichnet man deshalb als Gegenkopp- man die Gleichung (3-17 a) fiir eine Uberschlagsrech-
lung. nung folgendermaBen vereinfachen:
Eine Gegenkopplung verringert immer die Ver-
-Rr
starkung einer Schaltung. Je nach der Schal- (3-17 b)
tungstechnik verbessern sich dafur andere er- R.
wiinschte Eigenschaften. Fur die Emitter- Bei der genauen Berechnung nach Gleichung (3-17 a)
schaltung mit Stromgegenkopplung nach Bild muB man fiir R^ die Parallelschaltung des Arbeits-
3-27 gelten folgende Zusammenhange: widerstandes R^ mit dem Lastwiderstand i^L, auf den
der Verstarker arbeitet, einsetzen. Diese Parallelschal-
Spannungs verstarkung: tung bestimmt zusammen mit der Lastkapazitat CL
die obere Grenzfrequenz co^ der Schaltung. Sie ist
(3-17a) (D^ = 1/C'R*. (3-21)

Re
Verstarkung: v^^- (3-17b) Solange die Stromverstarkung p und der
RE Eingangswiderstand R^ ausreichend groB
Eingangswiderstand: sind, hangen Verstarkung und Eingangs-
(3-18a) widerstand nur noch von der Beschaltung
ab. Die aus verschiedenen Ursachen sich an-
Ausgangswiderstand: dernden Transistorparameter beeinflussen
(3-19 a) die wichtigen Schaltungseigenschaften nur
wenig.
Bild 3-27 a zeigt die zur Transistorschaltung
vergleichbare Beschaltung eines Operationsver-
3.2.1.2 Einstellung des Arbeitspunktes
starkers. Bei beiden Schaltungen wird ein Teil
des Ausgangssignals auf den Eingang zuruckge- Der Transistor erreicht die gewunschte Arbeits-
koppelt und von der Eingangsspannung abge- weise nur mit der richtigen Beschaltung, die
zogen. einerseits die notwendigen Spannungen und
Strome zufiihrt, andererseits die Ausbildung der
Die folgende Berechnung des Transistorverstarkers geforderten SignalgroBen ermoglicht.
mit Gegenkopplung nach Bild 3-27 soil diese Zusam-
Der richtige Arbeitspunkt wird in Bild 3-28 er-
menhange zeigen.
Die Spannungen im Eingangskreis sind lautert. Teilbild a zeigt die Spannungen in der
gegengekoppelten Emitterschaltung und ihren
U. = lB-r^e + lB-^-RE = JBir^e + P-RE)- Zusammenhang bei der Aussteuerung. Den Ar-
Daraus laBt sich der Eingangswiderstand R^ berech- beitspunkt der Schaltung legt man mit der Ba-
nen sisgleichspannung so fest, daB der Transistor
immer im linearen Bereich bleibt, d. h. der Span-
R -^='-B. + /JRE- (3-18a) nungsabfall U^^ an R^ soil 1 V und der Span-
nungsabfall U^^ am Transistor 1,5 V nicht un-
Die Ausgangsspanung wird terschreiten. Es empfiehlt sich daher, die
gefundene Dimensionierung auch dann einzu-
halten, wenn Signale mit sehr kleiner AmpHtude
Zur Ermittlung der Verstarkung wird U^ nach dem verstarkt werden. Bild 3-28 b zeigt die Arbeits-
Basisstrom differenziert, weshalb die Gleichspannung
kennUnien der Emitter- und Kollektorspan-
Us entfallt.
nung im Ausgangskennlinienfeld des Transi-
dU, = -dI^'I^Rc. stors. Auf der Arbeitsgeraden des Kollektor-
Fiir die Spannungsverstarkung v^ gih widerstandes kann man zu jedem Kollektor-
strom die zugehorige Kollektorspannung ab-
lesen, wahrend die Arbeitsgerade des Emitter-
widerstandes die zugehorige Emitterspannung
178 3 Aktive Bauelemente

widerstand des Transistors 1/g^ sollte deutlich


-o UJ-
groBer als der Arbeitswiderstand R^ sein.
Der Ausgangswiderstand R^ der Schaltung be-
n^Bi []/?- tragt mit guter Naherung

R» — Rr- (3-22)

Bei iiblicher Dimensionierung betragt der Ein-


fluB der nicht beriicksichtigten Parameter 0,5
bis 2%.
Die Basisgleichspannung kann man uber einen
Spannungsteiler erzeugen, sofern sie nicht di-
Arbeitsgerade des Emitterwiderstandes
rekt von der Vorstufe geUefert wird. Der Quer-
strom im Teller sollte mindestens das Dreifache
des mittleren Basisstroms betragen. Bild 3-28 a
zeigt die Erzeugung der Basisvorspannung mit
< 3
E

f
ik

jj
>w .Arbeitsgerade des
^ » ^ Kollektorwiderstandes

^CE
^

gijnstiger
>.

N.
^RC
den Widerstanden R^^ und R^2- Diese Schal-
tung eignet sich gut zur Verstarkung von Wech-
selspannungen; fiir Gleichspannungen gibt es
die spater beschriebenen Differenzverstarker.
Der Basisspannungsteiler aus R^^ und R^2 ^^^
die Basisspannung
If Aussteuerbereich N .
f 1 1 1 1 1 1 1 l \
4 6 erzeugen und dazu noch den erforderlichen Ba-
U/V
sisgleichstrom liefern. Dabei sollte man Wider-
stande, die groBer als 1 MQ sind, im Interesse
Bild3-28. Gegengekoppelte Emitterschaltung mit
stabiler Gleichstromverhaltnisse unbedingt ver-
den Signalspannungen und Arbeitskennlinien.
meiden. Schaltungen, die unter erschwerten Be-
dingungen, beispielsweise einem groBen Tempe-
zeigt. Die Kollektorspannung UQ^ muB dabei raturbereich, hoher Feuchtigkeit oder starker
immer im aktiven Arbeitsbereich (Abschn. Verschmutzung arbeiten, sollten keine Wider-
3.1.2) des Transistors bleiben. Zu beachten ist, stande liber 100 kQ enthalten.
dafi der Sdttigungsbereich nicht auf die Be-
triebsspannung, sondern stets auf die Emitter- Beispiel
spannung bezogen wird, wodurch er im Bild 3-28 3.2-1: Eine Emitterschaltung mit folgenden Daten
immer rechts von der Arbeitsgeraden des Emit- soil entwickelt werden:
terwiderstandes liegt.
Spannungsverstarkung i^u = - 2 0 ,
Eingangswiderstand der Schaltung i^,>10kQ.
3.2.1.3 Praktische Dimensionierung
Vorgegeben sind:
der Emitterschaltung
Die Betriebsspannung Us = 9Y
Um den Stromverbrauch, die Erwarmung und und der Transistor BCY59
die Ausbreitung von Storungen klein zu halten, mit der Stromverstarkung B^P = 400
sollte man die Schaltung mit einem kleinen und dem Eingangswiderstand ^ i i = ^ e = 5kQ
Strom betreiben. Der Strom muB aber so groB Losung:
sein, daB parasitare Kapazitaten, das sind un-
vermeidbare Leitungs- und Sperrschichtkapazi- Fiir den Kollektorstrom setzt man aus der Erfahrung
2 mA an. Der Wert ist im Prinzip frei wahlbar. Die
taten, problemlos und ohne groBe Phasendre- weitere Rechnung beweist oder widerlegt den richti-
hung der Ausgangsspannung umgeladen wer- gen Ansatz. Die nachfolgende Vermessung zeigt, ob
den konnen. Dazu muB der Wechselstrom- der Arbeitsbereich stimmt, die Bandbreite ausreicht
widerstand R = l/coC deutlich groBer als der oder ob der Verstarker andere unerwunschte Eigen-
Arbeitswiderstand RQ sein. Auch der Innen- schaften hat.
3.2 Analoge Grundschaltungen mit bipolaren Transistoren 179

/c = 2 m A . Der nachste Normwert aus der Reihe E12 ist ^32


= 56kQ.
Die Spannungsverstarkung v^ ist iiberschlagig

Re ^Bl -
4/B
8,2 V
Ohne Aussteuerung soil UQ^ = U^Q + U^^ = U^/2 sein. ^Bl :410kQ.
20 ^lA
l/cE = t/s/2,
Der nachste Normwert aus der Reihe E12 ist i^gi
UJ2 = 390 kQ.
Rc + RE Der Eingangswiderstand der Schaltung nach Bild
3-28 wird vom Emitterwiderstand und der Span-
Ir nungsgegenkopplung wesentlich beeinfluBt. Er ist die
2 R c ( l + l/fu) Summe aus dem Basis-Emitter-Widerstand r^^ und
dem um die Stromverstarkung vergroBerten Emitter-
Damit wird der Kollektorwiderstand widerstand 7?E. Diesem Eingangswiderstand wird der
Widerstand des Basisspannungsteilers Rj parallel ge-
«c = schaltet.
^2/c(l + l / f j '
9V ^T = ^Bl II ^B2 = ~ ~^ '
^Bl + ^B2
«c =
2-2mA(1 + 0,05)
«c == 2,143 kn. R^ = [5 kQ -h 100 Q • 400] || 56 kQ || 390 kQ,
Der nachste Normwert aus der Reihe E12 ist 1 1 1 1
Re = 2,2 kQ. Aus der Verstarkung und dem Kollek- — =
torwiderstand berechnet man den Emitterwiderstand. R, 5kQ + 40kQ + 56 kQ + 390Q'
R^ = 2 3 , 4 k Q .
Nachdem alle Bauteile des Verstarkers bekannt sind,
kann man die Verstarkung v^ nach der vereinfachten
Gleichung (3-17 b) iiberschlagig und nach der genaue-
ren Gleichung (3-17 a), die den Eingangswiderstand
Der nachste Normwert aus der Reihe E12 ist:
r^e des Transistors und seinen Ausgangsleitwert g^
beriicksichtigt, genau berechnen. Es gilt
Die Spannung am Kollektor ist

Ur
R.
v^ ^ - 2 2 ,
Die Spannung an der Basis ist

V^=-I^PRe,
t/g = 0,2 V + 0,6 V = 0,8 V.

Der Basisstrom wird aus de


'" U^ ^ B - K e + i^-i^E)'
der Stromverstarkung j5 berechnet:
-400-2,2kQ
h ^" ~ 5 kQ + 400 • 0,1 kQ '
V, = - 1 9 , 5 .
Die Gleichstromverhaltnisse werden nur dann stabil,
wenn der Querstrom im Spannungsteiler deutlich Wie Gl. (3-17 a) zeigt, fallt ein Teil der Eingangsspan-
groBer als der Basisgleichstrom ist. nung im Basis-Emitter-Widerstand r^^ ab, was in der
Durch R^2 soil der 3fache Basisstrom flieBen, Formel fiir die Uberschlagsberechnung nicht beruck-
sichtigt wird. Vergr5Bert man jetzt den Arbeitswider-
stand im Verhaltnis V^^iJVi^i, dann hat die Schaltung
Rni =
3/B
die gewunschte Verstarkung. Selbstverstandlich kann
man auch die Gleichung (3-17 a) nach R^^ auflosen
0,8 V und den Kollektorwiderstand direkt aus alien be-
= 53,3kQ.
15|iiA kannten GroBen berechnen.
180 3 Aktive Bauelemente

Der EinfluB der Spannungsriickwirkung und Arbeitspunkt stabihsiert und die offene Verstar-
des Ausgangsleitwerts, ungefahr 1%, wird hier kung herabsetzt (Bild 3-29).
vernachlassigt. Wegen groBer Streuung aller Fiir die Emitterschaltung mit Spannungsgegen-
Parameter hat eine genauere Rechnung keine kopplung nach Bild 3-29 gelten folgende Werte:
praktische Bedeutung.
Die Rechnung zeigt folgende Eigenschaften der Spannungsverstarkung:
Schaltung:
- Die iiberschlagig berechnete Verstarkung ist ^ =^ +- mit t ; * = ^ (3-23)
ca. 13% hoher als die genau berechnete.
- Der Eingangswiderstand wird hauptsachlich dynamischer Eingangswiderstand:
vom Emitterwiderstand und dem Basisspan-
nungsteiler, weniger von den Transistordaten K = RB + {r^.JRcB/v')^RB (3-24)
bestimmt. dynamischer Ausgangswiderstand:
- Je hoher man die Verstarkung der gesamten
Schaltung wahlt, desto grofier ist die Abwei-
chung zwischen der iiberschlagigen und der
genauen Rechnung und desto mehr gehen die
^a = ^C
\T^
Transistordaten in das Ergebnis ein. In Gl. 3-23 bedeutet
- Stark gegengekoppelte Schaltungen sind stabil
und gut reproduzierbar. 1^0 = dC/c/dL/e die offene Verstarkung des
Transistors,
3.2.1.4 Emitterschaltung v' = dUJdU^ die Verstarkung des ganzen ge-
mit Spannungsgegenkopplung gengekoppelten Verstarkers,
Bei der Emitterschaltung mit Spannungsgegen- 1/v* = R^/RQB den Gegenkopplungsfaktor.
kopplung, Bild 3-29 a, wird der Basisstrom iiber
den Spannungsteiler R^^ und RgE ^^s der Kol- Die Gegenkopplung iiber R^B verkleinert den
lektorspannung UQ gewonnen. Die dabei auf Eingangswiderstand des Transistors zwischen
den Eingang zuriickgekoppelte Spannung sta- Basis und Emitter so sehr, daB der Eingangs-
bihsiert den Gleichstromarbeitspunkt und ver- widerstand R^ der gesamten Schaltung prak-
ringert die Verstarkung. Dabei setzt man vor- tisch nur vom Widerstand R^ abhangig ist (s.
aus, daB der Basisstrom /g klein gegen den Gl. (3-24)).
Querstrom 12 im Spannungsteiler ist, z.B. 12 Der dynamische Ausgangswiderstand wird um
= 10/*. den Faktor V'/VQ verkleinert. Der EinfluB des
Der Kollektorwiderstand K^ wird durch die Be- Ausgangsleitwertes g^ ist meistens gegen den
triebsspannung U^ und den notwendigen Kol- Kollektorwiderstand R^ zu vernachlassigen.
lektorgleichstrom IQ bestimmt. Die mittlere MaBgebend fiir den Arbeitspunkt ist auBer der
Kollektorgleichspannung soUte die halbe Be- Beschaltung die ublicherweise stark streuende
triebsspannung betragen. Die zum Eingangs- Stromverstarkung B. Sind ihre Grenzen be-
strom gegenphasige Ausgangsspannung 11^ er- kannt, und wird nicht der voile Aussteuerbe-
zeugt iiber ^^B ^^^^ Gegenkopplung, die den reich benotigt, dann ermoglicht diese Schaltung
einen Verstarker mit einem niederohmigen Ein-
gang und Ausgang. Der Arbeitspunkt ist jedoch
wesentUch weniger stabil als in der Emitter-
schaltung mit Stromgegenkopplung.

3.2.1.5 Emitterschaltung
bei hoheren Frequenzen
Bisher wurden alle Schaltungen unter Gleich-
strombedingungen betrachtet. Mit zunehmen-
der Frequenz beeinflussen Laufzeiteffekte im
Bild 3-29. Emitterschaltung mit Spannungsgegen- Halbleiter (Abnahme der Stromverstarkung ^
kopplung. oberhalb der Grenzfrequenz /g) und unver-
3.2 Analoge Grundschaltungen mit bipolaren Transistoren 181

meidbare Kapazitdten im Halbleiter die Ver- 3.2.2 KoUektorschaltung


starkung VQ sowie die Eingangs- und Ausgangs-
widerstande R^ und R^ und die Spannungs- Bei dieser Schaltung ist der Kollektor die ge-
riickwirkung D einer Verstarkerschaltung. meinsame Bezugselektrode. Diese Schaltung
bezeichnet man auch als Emitterfolger, im eng-
Bild 3-30 zeigt den aus Bild 3-25 bekannten lischen Sprachgebrauch als voltage follower.
Transistorverstarker, der aus der Quelle mit Das Eingangssignal an der Basis erscheint am
dem Innenwiderstand R^ gespeist wird und bei Emitter mit nahezu gleichem Pegel und gleicher
einer Frequenz betrieben wird, bei der die Kol- Phase. Die Spannungsverstarkung der KoUek-
lektor-Basis-Kapazitat nicht mehr vernachlas- torschaltung ist ;^ 1, dagegen hat die Schaltung
sigt werden darf. Die Schaltung laBt sich als die Stromverstarkung des Transistors, die nur
Emitterschaltung mit Spannungsgegenkopp- um den Verlust im Emitterwiderstand R^ ver-
lung (Abschn. 3.2.1.4) entsprechend Bild 3-29 ringert wird. Die KoUektorschaltung setzt man
berechnen, wenn RQ^ durch 1/j co C^b ersetzt zur Impedanzwandlung ein (das ist eine Wider-
wird. Damit ist der Gegenkopplungsfaktor l/i?*: standstransformation zwischen Eingang und
Ausgang) und zur reinen Stromverstdrkung.
l/i;*=jcoQb-^B-
Haufig wird der Transistor in KoUektorschal-
Die Kollektor-Basis-Kapazitat Q b beeinfluBt tung direkt von anderen Transistoren versorgt,
die Verstarkung bereits dann, wenn das Pro- so daB er keine zusatzhche Beschaltung fiir die
dukt aus Kreisfrequenz co und der Zeitkonstan- Gleichstromzufiihrung hat. BUd 3-31 zeigt die
ten Q b • ''be ^i^ kleine GroBe XJVQ erreicht. Spannungen und Strome in der KoUektorschal-
tung.
-o(y.

f
c.."^
^
<
c..^ Bild 3-3J. Spannungen und Strome in der KoUektor-
schaltung.
Bild 3-30. Emitterschaltung bei hoheren Frequenzen.
Fiir die KoUektorschaltung nach BUd 3-31 er-
rechnen sich folgende GroBen:
An der Kollektor-Basis-Kapazitat C^b liegt die
Summe aus der Eingangsspannung L/RE und der
Verstarkung: v^^l (3-26 a)
um den Faktor VQ verstdrkten Eingangsspan-
nung. Dadurch wird der Strom im Kondensa- v,^p
tor Ccb um den Faktor ( I + I^Q) groBer, und Eingangs widerstand:
die Kollektor-Basis-Kapazitat C^b erscheint um
^e = ^e + a+i5)'(i^E \\RL) (3-27)
den Faktor {1-\-VQ) dynamisch vergroBert.
Diese Kapazitat heiBt allgemein Miller-Kapa- Ausgangswiderstand:
zitdt.
n ^ ^ G + '-be
Die Kollektor-Basis-Kapazitat C^b wird nur bei (3-28)
der Emitterschaltung dynamisch vergroBert.
Eine Gegenkopplung vermindert die Verstarkung
und damit auch den EinfluB dieser parasitdren Die Spannungsverstarkung errechnet sich mit
Kapazitdt. U,-h-r^, + h-(l+P)-RE und
Die ebenfalls vorhandene und meistens groBere
l/,= /,-(1+;8)RE ZU
Basis-Emitter-Kapazitat C^^ hat eine wesent-
hch geringere Wirkung, da sie nicht dynamisch ^ __U,__ I,{l+p)-R^
vergroBert wird.
182 3 Aktive Bauelemente

Die Spannungsverstarkung v^ ist nahezu 1


(l+i8)RE
(3-26)
Der Eingangswiderstand wird nach Gl. (3-27) zu
Der Eingangswiderstand R^ der KoUektorschaltung i?, = 4 kQ + 400 • 1 kQ = 404 kQ.
errechnet sich mit
Der Innen- oder Ausgangswiderstand R^ ist nach Gl.
(3-28)
1/, = ( 1 + J 8 ) - / , - R E und 10 kQ + 4 kQ
R.= = 35Q.
U, = h[rt^ + (1+P)-RE] zu 400

dl/g W e n n die B a s i s g l e i c h s p a n n u n g d e r KoUektor-


s c h a l t u n g nicht v o n d e r Signalquelle k o m m t ,
m u B m a n sie m i t e i n e m eigenen S p a n n u n g s t e i -
Der Eingangswiderstand wird mit guter Naherung ler erzeugen, v^ie Bild 3-32 zeigt.
durch folgende Gleichung beschrieben:

«e = ^ e + )?(«El|RL)-

Der Ausgangswiderstand R^ der Schaltung hangt zu-


(3-27 a)
5
satzlich noch vom Innenwiderstand RQ des speisen-
den Generators ab. Fur die Ausgangsspannung U^
gilt

/.
ti
Bild 3-32. Einstellung
KoUektorschaltung.
des Arheitspunktes bei der

(Ko + '-be)-
l+jg
Jetzt liegen die W i d e r s t a n d e J^^ u n d R2 parallel
Damit wird der Ausgangswiderstand R^ z u m h o h e n E i n g a n g s w i d e r s t a n d R^ d e r KoUek-
t o r s c h a l t u n g . D i e s e r S p a n n u n g s t e i l e r verringert
d(/, i^G + '-be
R.= •, Oder naherungsweise den Eingangswiderstand der Gesamtschaltung
dL 1+/
auf einen BruchteU des E i n g a n g s w i d e r s t a n d e s
d e r reinen K o U e k t o r s c h a l t u n g . E i n s c h a l t u n g s -
R, (3-28)
P technischer Kniff ermogUcht a b e r eine stabUe
Schaltung mit h o h e m Eingangswiderstand.
R^ ist dabei der dynamische Innenwiderstand, der so-
lange gilt, wie der Transistor oder der Widerstand R^
den Ausgangsstrom I aufbringen kann. Der Maximal- 3.2.2.1 Bootstrapschaltung
strom, der aus dem Verbraucher in den Widerstand
Bei d e r B o o t s t r a p s c h a l t u n g (BUd 3-33) w i r d d e r
R^ flieBen kann, wird nur durch den Widerstand R^
und die an ihm anliegende Gleichspannung bestimmt. S i g n a l s t r o m in R^ u n d R2 v o m n i e d e r o h m i g e n
Ein Zahlenbeispiel soil die Verhaltnisse veranschau-
lichen.

Beispiel
l^b.
3.2-2: Es gelten folgende Werte:
jg = 400 i?E = 1 kQ
4 = 4 mA r^e = 4 kQ
i^G=10kQ.
Mit diesen Daten soil die Spannungsverstarkung v^,
der Eingangswiderstand R^ und der Ausgangswider-
stand R, errechnet werden.
401 kQ Bild 3-33. Erhohung des Eingangswiderstandes mit
= 0,99.
4 kQ + 401 kQ der Bootstrapschaltung.
3.2 Analoge Grundschaltungen mit bipolaren Transistoren 183

Ausgang der Kollektorschaltung aufgebracht. 3.2.3 Basisschaltung


Dazu muB der Kondensator C fur alle Signal-
Bei der Basisschaltung ist die Basis des Transi-
frequenzen kurzgeschlossen sein. An i^3 steht
stors die gemeinsame Bezugselektrode fiir Ein-
nur die kleine Basis-Emitter-Signalspannung
gang und Ausgang (Bild 3-34).
L/be? der Widerstand R^ wird im Verhaltnis
UJU^^ dynamisch hochtransformiert.
r^^-^{\+P)R^ n«c
^'3 = ^3 = R
3

R
P-R,
ke V' ^a

1 1
f
C3
T
0

Der Eingangswiderstand R^ ist 0


Bild 3-34. Transistor in Basisschaltung.
K = R'J{r,^^pR^). Die Basisschaltung eignet sich nur zur Span-
Beispiel nungsverstarkung, wahrend die Stromverstar-
kung v-^^1 ist. Sie hat folgende Vorteile:
3.2-S: Eine Bootstrapschaltung nach Bild 3-33 ist zu
berechnen. Der Widerstand R^ soil 112,5 kQ, Rj = - Sie arbeitet gut bis zu hohen Signalfrequen-
150 kQ, R^ = \0 kQ, der Kondensator C = 10 ^iF und zen,
die Stromverstarkung fi = 400 betragen (iibrige Da- - sie hat eine geringe Riickwirkung vom Aus-
ten wie in Beispiel 3.2-2). gang auf den Eingang, und
- sie ist unempfindlich gegen Parameter- und
Losung: Temperaturschwankungen.
400 • 1 kQ
Nachteihg ist, daB man den Emittergleichstrom
4kQ am Signaleingang einspeisen muB und deshalb
moghcherweise die Signalquelle belastet. Den
Bei tiefen Frequenzen wird der Kondensator C hoch- Emitterstrom kann man auch iiber eine Dros-
ohmig, die Schaltung arbeitet wie in Bild 3-32. sel, einen Transformator oder eine Stromquelle
Die praktische untere Frequenz liegt bei zufiihren, wenn dadurch das Signal nicht ge-
R^\\R2>^0-\I(DC
stort wird. Die Eigenschaften dieser Schaltung
bestimmen weitgehend ihren Einsatz als Hoch-
10
frequenz ver starker.
{RJR2)-C
Folgende Zusammenhange ergeben sich fur die
C0g = 37 1/s Basisschaltung:
/ , =5,9 Hz.
Spannungs verstarkung:
Die hochohmige Eingangsstufe konnte auch
mit einem Feldeffekttransistor realisiert wer- PK (3-29)
den, dessen Gate-Potential man problemlos t^,. =
uber einen hochohmigen Widerstand zufuhrt
Stromverstarkung:
(Abschn. 3.4.3).
Die Kollektorschaltung zeigt bei zunehmender (X = V: = 1. (3-30)
Frequenz folgende Eigenschaften: Die Basis- ' 1+P
Emitter-Kapazitat C^e Hegt parallel zum Basis- Eingangswiderstand:
Emitter-Widerstand r^e, d.h., bei Kleinsignal-
transistoren beginnt der EinfluB dieser para- (3-31)
R.=
sitaren Kapazitat erst im MHz-Bereich. Die P
Kollektor-Basis-Kapazitat C^^ liegt parallel
zum Eingang und wird nicht dynamisch ver- Die Stromverstarkung ist v^^l, solange jS > 1
groBert. Den groBten EinfluB hat hier die ober- ist. Trotzdem erreicht die Schaltung eine gute
halb der Grenzfrequenz /g abnehmende Strom- Leistungsverstarkung, da auch fiir eine groBe
verstarkung p. Damit sinkt auch der hohe Ausgangsspannung U^ nur eine kleine Ein-
Eingangswiderstand R^, gangsspannung U^ erforderlich ist.
184 3 Aktive Bauelemente

Der Ausgangs- oder Innenwiderstand R^ der


Rr = --666Q:
Basisschaltung wird allein vom KoUektorwider-
stand RQ bestimmt. Der parallel liegende Aus-
nachster Normwert E12: 680Q.
gangsleitwert g^^ ist sehr klein und praktisch
immer zu vernachlassigen. Der Transistor ar- Bis hierher berechnet man den Arbeitspunkt genauso
beitet deshalb als fast ideale Stromquelle. wie bei der Emitterschaltung in Abschn. 3.2.1.
Am Transistor Uegen jetzt die in Bild 3-35 rot einge-
Beispiel zeichneten Potentiale. Das am Emitter eingespeiste
Signal U^ wird um die Spannungsverstarkung v^ ver-
3.2-4: Fiir die Schaltung nach Bild 3-35 sollen der starkt, so daB gilt
Arbeitspunkt und die wichtigen Signal- und Gleich-
spannungen berechnet werden. PRc
Folgende Ausgangsdaten sollen gelten: U^ = 6Y, I^
= 3 mA, Tbe = 1,3 kQ, C/c = 4 V, jg = 100.
100 • 680 Q
v= =51,1.
Losung: 1,33 kQ

Die Schaltung ist richtig dimensioniert, wenn im Ar- Fiir den Eingangswiderstand R^ ergibt sich
beitspunkt ohne Aussteuerung gilt
R.= = 13Q.

Ansatz: U^^^ ^4/2 = 3 V Der zur Gleichstromversorgung notige Emitterwider-


stand i^E = 330 Q kann gegen den dynamischen Ein-
gangswiderstand i?e = 13Q vernachlassigt werden.
f4 = 1 V .
Wiirde das Signal an der Basis eingespeist, dann ware
Basisstrom bei /c = 3 mA: die Verstarkung
/B = 3 0 ^ A .
Re
Da an der Basis kein Signal steht, kann der Basis- = 2.
R.
spannungsteiler aus R^ und R2 niederohmig sein; der
Querstrom betragt lO/g. Der Kondensator C2 mu6 Der Eingangswiderstand der Emitterschaltung ware
fiir die kleinste Arbeitsfrequenz ein KurzschluB sein. aber wesentlich hoher und im vorliegenden Beispiel
durch den Basisteiler bestimmt.
^B = ^E + 0,5 V = 1,5 V.
An R2 steht die Summe aus der Eingangsspannung Bei einem HF- Verstarker kann man den Kollek-
(7E und der Basis-Emitterschaltung U^^, zusammen torwiderstand auch durch eine Drossel oder ei-
1,5 V. Durch den Spannungsteiler sollen 30 |xA flie- nen Schwingkreis ersetzen. Emitter und Basis
6en, i?2 betragt demnach 5 kQ. Der nachste Norm-
werden wie bei der Stromquelle beschaltet, um
wert E12ist 4,7kQ.
einen stabilen Gleichstromarbeitspunkt zu er-
An R^ stehen 4,5 V, durch ihn sollen 330 |iA flieBen, halten. Haufig blockt man die Gleichspan-
er betragt 13,6 kQ. Der nachste Normwert E12 be-
tragt 12 kQ. Mit diesen Werten und den Ausgangs- nungspotentiale am Eingang und Ausgang iiber
daten der Schaltung erhalt man folgende Einzelspan- Kondensatoren ab (C^ und C3 in Bild 3-35).
nungen: Dabei miissen die Wechselstromwiderstande
f/g = 1,49 V
C/E =1,0 V
«, '^"c
t/Rc = 2,0V
Uc =4,0V. C,

Aus dem Emitterstrom h = = 3 mA und dem \J


Spannungsabfall U^ an R^ kann man den Emitter-

Kg = —^ = 333 Q; nachster Normwert E12: 330 Q. D«^ D


t t
Der Kollektorwiderstand R^ wird ebenso aus dem •o o

Kollektorstrom und dem geforderten Spannungsab- Bild 3-35. Transistor in Basisschaltung mit Gleich-
fall berechnet. stromversorgung und internen Spannungen.
3.2 Analoge Grundschaltungen mit bipolaren Transistoren 185

dieser Kondensatoren wesentlich kleiner als die


Eingangs- und Ausgangswiderstande sein. Ab-
blocken ist die Bezeichnung fur das Trennen der
Signal- und der Versorgungsstrome durch Kon-
densatoren und Drosseln (Induktivitaten) im Bei veranderlicher Betriebsspannung kann man
Langs- Oder Querzweig eines Signalweges. den Widerstand R^ durch eine Z-Diode erset-
Die Basisschaltung zeigt bei zunehmender Fre- zen. Der Spannungsabfall an der Diode D hat
quenz folgende Eigenschaften: ungefahr den gleichen Temperaturgang von
Die kleine Basis-Emitter-Kapazitat C^^ ist dem 2 mV/K, wie die Basis-Emitter-Spannung des
sehr niederohmigen Emitter-Basis-Widerstand Transistors. Dadurch bleibt die Spannung U^
r^e parallel geschaltet und hat keinen prakti- konstant und verursacht in R^ einen tempera-
schen EinfluB. Die Kollektor-Basis-Kapazitat turunabhangigen Strom 7.
Qb liegt zum Lastwiderstand parallel und kann Die Stromquelle erzeugt auch zeitlich verander-
deshalb von Bedeutung sein. Beide parasitare hche Strome, wenn sie mit der entsprechenden
Kapazitaten werden nicht dynamisch vergro- Spannung U^ angesteuert wird. Bei geringen
Bert. Da die Schaltung den Steuerstrom nicht Anforderungen an die Temperaturstabilitat des
verstarkt, setzt auch der EinfluB der abnehmen- Stromes kann man die Diode weglassen und die
den Stromverstarkung erst bei hoheren Fre- Spannung U^ um die mittlere Basis-Emitter-
quenzen ein. Spannung L/gE vergroBern.

3.2.4 Stromquelle 3.2.5 Differenzverstarker


Beim Transistor verursacht ein Basisstrom /g Der Differenzverstarker ist eine der wichtigsten
einen entsprechend groBen KoUektorstrom 7^, Schaltungen mit mehreren Transistoren. Er be-
der von der KoUektor-Emitter-Spannung UQ^ steht aus zwei gleichen Transistoren und ver-
weitgehend unabhangig ist. Diese Eigenschaft starkt nur die Differenz der Eingangsspannungen
erlaubt es, mit einem Transistor nach Bild 3-36 an beiden Basisanschliissen. Gemeinsame Ein-
eine einfache Stromquelle aufzubauen. gangsspannungen, Parameterstreuungen und
Temperaturanderungen zeigen kaum EinfluB
auf die Ausgangsspannung.
«,[5 U^ flp Der Differenzverstarker ist die einzige Schal-
tung, mit der man Gleichspannungen genau ver-
stdrken kann, da die Temperaturdrift der Basis-
f l'^" Emitter-Spannung durch einen zweiten Tran-
sistor kompensiert wird, der unter gleichen Be-
dingungen arbeitet. Operationsverstarker (Ab-
schn. 8) baut man aus diesem Grund aus hin-
tereinandergeschalteten Differenzverstarkern.
Dabei werden beide Transistoren des Differenz-
verstarkers gemeinsam dicht nebeneinander auf
Bild 3-36. Temperaturkompensierte Stromquelle. einem Substrat hergestellt, so daB beide Transi-
storen gleich sind. Die Absolutwerte der Transi-
Unter der Annahme, daB IQ ^ I^ und j5 > 1 ist, stordaten haben danach nur noch einen gerin-
erhalt man fiir die Spannung U^ + t/o ™ Basis- gen EinfluB auf das Ausgangssignal des Ver-
Emitter-Kreis starkers.
Fiir den Differenzverstarker gelten folgende Zu-
sammenhange:
mit C/D ^ U^E
Spannungsverstarkung:
^ B = ^E * ^ E •

Lost man diese Gleichung nach I^ auf und setzt V, = (3-33)


2ru.
I^ = IQ, ergibt sich fiir 7^ der Zusammenhang
186 3 Aktive Bauelemente

weichung (z.B. 7^) getrennt werden. Fiir die


t/c2 +^-Rc Summe beider Basisstrome, die den gemein-
(3-33 a)
samen Emitterstrom /^ erzeugen, gilt dann
Eingangswiderstand: R^ = 2ry^^. (3-34)
^
Ausgangswiderstand: /B + ^bl+^B + /b2 =
P
^a ^ ^ C (3-35) Der statische Anteil bestimmt den Emitter-
Gleichtaktunterdriickung: gleichstrom, so daB gilt

(3-36)
'•be
Der dynamische Anteil bestimmt das Verhaltnis
Bild 3-37 zeigt die Schaltung mit den wichtigen der Basisstrome
Spannungen und Stromen. Durch die feste Ver-
^ b l ~ ~ ^b2-
kopplung der Emitter heben sich die Basis-
Emitter-Spannungen beider Transistoren auf Fiir die Abweichung der Basis- und damit auch
und es wird nur die Spannungsdifferenz zwi- der KoUektorstrome ist die Differenz der Ein-
schen beiden Basisanschliissen verstarkt und gangsspannungen maBgebend:
symmetrisch an beiden Kollektoren abgegeben.
^ B 1 2 ~ ^ B l ~ ^ B 2 ~ (^Bl ~ ^ 6 2 ) ''be-
Diese Eigenschaft eines Differenzverstarkers
und die Gl. (3-33) bis Gl. (3-35) sollen in der Die Berechnung wird einfacher, wenn man nur
folgenden Rechnung hergeleitet werden. Dabei die Abweichungen betrachtet; die statischen
setzt man voraus, daB die Daten beider Transi- Spannungen und Strome miissen in der Rech-
storen gleich sind und der Emitterwiderstand nung nicht mitgefiihrt werden.
R^ sehr groB ist, d. h. es gilt
^ b l 2 = (^B + ^ b l ^ ^B - ^b2) ^ e •

Mit /bi = — ^b2 ergibt sich fiir (7^12-


^bl2 ~ 2ibi • r^e,
Fiir die Eingangsspannungen (7^ gilt
r Ubi2^ .
^ b l = ^Bl • ''be + ^E und /el = 1 und
2rK
B2 ' ''be + ^E •
Den Emitterwiderstand R^ dimensioniert man f/ci =-C^b
2r,,
so, daB die Transistoren den richtigen Arbeits-
punkt aufweisen, oder ersetzt ihn durch eine Damit wird die Verstarkung zwischen dem Dif-
Stromquelle mit / E = ^ci + ^C2- I^i^ Rechnung ferenzeingang und den beiden Ausgangen:
wird iibersichtlicher, wenn alle GroBen in einen
statischen Anteil fur den Gleichstromarbeits-
punkt (z.B. / B ) und eine signalbedingte Ab-

"cQ
Der Eingangswiderstand R^ des Diiferenzver-
starkers ist unter der Voraussetzung R^ > ^\,J?'-

(3-34)
''bl

o o •o o Der Ausgangswiderstand R^ betragt wie bei der


Bild 3-37. Grundschaltung des Differenzverstarkers. einfachen Emitterschaltung
3.2 Analoge Grundschaltungen mit bipolaren Transistoren 187

Transistoren auch bei einer kleinen Spannung


(Tg aus einer Quelle mit hohem dynamischen
Innenwiderstand geliefert werden, wie Bild 3-38
zeigt.
3.2.5.1 Gleichtaktverstarkung

Die Eingangsspannung des gleichsinnig ange-


steuerten Differenzverstarkers ist

Nahemngsweise gilt:

Die Ausgangsspannung des gleichsinnig ange-


steuerten Differenzverstarkers ist
U^ = -L RQ Oder P-Rc-
Daraus errechnet sich die Gleichtaktverstar-
kung DGLI ZU Bild 3-38. Differenzverstdrker mit Stromsenke im
Emitterkreis.
-hrl^Rc ^ -Re
fr.i , = ^ ^ =
t/.
Es empfiehlt sich weiterhin, Transistoren mit
Das entspricht der halben Verstarkung einer ge- hoher Stromverstarkung einzusetzen, wahrend
gengekoppelten Emitterschaltung. man einen hohen Emitterstrom vermeiden
sollte, da er den Basis-Emitter-Widerstand r^e
verringert und den Gleichstromarbeitsbereich
3.2.5.2 Gleichtaktunterdriickung instabil macht. Gute Differenzverstarker, bei-
spielsweise in der Eingangsstufe eines Opera-
Die bisher durchgefiihrte Rechnung betrachtet tions verstarkes, konnen 100 dB oder mehr
nur die Spannungsdifferenz zwischen den bei- Gleichtaktunterdriickung erreichen, d.h., daB
den Eingangen als Ursache eines Ausgangs- die Gleichtaktspannung um den Faktor 10^ we-
signals. Gleichsinnige Anderungen beider Ein- niger verstarkt wird als die Differenzspannung.
gangsspannungen fiihren jedoch ungewollt Mit zunehmender Frequenz wird die Gleich-
auch zu einem kleinen Ausgangssignal. Die taktunterdruckung schlechter, da Strome in den
Gleichtaktunterdriickung G gibt an, um welchen Kapazitaten zwischen den Elektroden die Ver-
Faktor die an beiden Eingangen gemeinsame haltnisse verandern. Bild 3-39 zeigt den EinfluB
Eingangsspannung geringer verstarkt wird als der Frequenz auf die Gleichtaktunterdriickung,
eine Spannungsdifferenz zwischen beiden Ein- die bei kleinen Frequenzen hoch ist, oberhalb
gangen. Der Quotient aus der Differenzverstar- der Grenzfrequenz /g aber hnear mit der Fre-
kung v^ und der Gleichtaktverstarkung VQI^ ist quenz abnimmt.
die Gleichtaktunterdriickung G. Es gilt
Ein Differenzverstarker wird nach dem gleichen
^ V, -P'RJlr^, PRc'2R^ Verfahren wie eine Emitterschaltung dimensio-
niert. Zu beachten ist, daB der Emitterwider-
Re/2 RE 2 TK. • Rr
stand die Strome beider Transistoren aufneh-
PR, men muB. Durch die besonderen Anforderun-
G= (3-36) gen an die GleichspannungsstabiHtat miissen
zusatzliche Zusammenhange berucksichtigt wer-
Das Ergebnis zeigt, daB die Gleichtaktunter- den. Die Halbleiterindustrie liefert Doppeltran-
driickung G mit zunehmendem Emitterwider- sistoren, deren gemeinsame Daten nur wenig
stand R^ groBer wird. Ersetzt man den Emitter- voneinander abweichen, in einem Gehause. Da-
widerstand durch eine Stromsenke, dann kann durch entfallt fiir den Anwender das Aussuchen
der erforderhche Emitterstrom fur die beiden passender Paare, und beide Transistoren haben
188 3 Aktive Bauelemente

100
Spannung UQ^ und der Spannungsabfall (7RC
^ am Kollektorwiderstand RQ gleich, dann andert
80
sich die Verlustleistung Pj^ des Transistors bei
kleinen Signalamphtuden fast nicht (Bild 3-40).
Je kleiner der Kollektorstrom IQ der Transisto-
60 ren ist, desto groBer kann der Kollektorwider-
stand RQ gewahlt werden, um eine moglichst
groBe Verstarkung v zu erreichen, und desto
D 40 geringer ist die unerwiinschte Erwarmung. Der
abnehmende Ausgangsleitwert g^ verbessert die
Schaltungseigenschaften weiter.
c5 20 Das obenstehende Konzept fmdet bei den mei-
sten Operationsverstarkern Verwendung. Die
Grenzen dieser Dimensionierung sind erreicht,
0,01 0,1 1 10 100 1000 wenn die Strome so klein werden, daB die para-
Frequenz f/kHz
sitaren Kapazitaten nicht schnell genug umge-
Bild3-39. Gleichtaktunterdruckung G eines Diffe- laden werden konnen, und die Schaltung an ihre
renzverstdrkers als Funktion der Frequenz.

n
Frequenzgrenze stoBt. Verstarker mit hoherer
1,00 Grenzfrequenz arbeiten deshalb mit hoheren
Us Stromen und niedrigeren Arbeitswiderstanden.
i
o 3.2.5.3 Korrektur der Offsetspannung
of 0,75 f
of r V" Beim idealen Differenzverstarker fuhrt die Ein-
en /^RC gangsspannungsdifferenz 17^ = 0 V zu gleichen
KoUektorstromen in beiden Transistoren. Beim
•53 0,50
realen Differenzverstarker werden gleiche Kol-
lektorstrome bei einer kleinen Spannung =# 0 V
erreicht. Diese Spannung heiBt Offset-Spannung
S 0,25 (Offset = Versatz); sie liegt bei bipolaren Dif-
S^Tr ferenzverstarkern im Bereich von 1 mV bis
10 mV, bei sehr guten Differenzverstarkern
auch erheblich darunter. Bild 3-41 zeigt drei
0,25 0,50 0,75 1,00 verschiedene Moglichkeiten, diese FehlergroBe
normierter Kollektorstrom /c/-^cmax
zu korrigieren.
Bild3-40. Normierte Verlustleistung P^^ des Transi-
stors und seines Kollektorwiderstandes P^Q als Funk- Im Teilbild a) geschieht die Korrektur iiber die
tion des Kollektorstroms. KoUektorwiderstande. Dabei teilt man die Kol-
lektorwiderstande jeweils in einen groBen Wi-
durch den guten Warmekontakt ungefahr die derstand R^ und einen kleinen RQQ und verrin-
gleiche Temperatur. gert beide RQQ durch einen mehr oder weniger
Die wichtigsten Daten sind dabei die Basis- groBen Anteil des R^. Wird der Verstarker mit
Emitter-Spannung UBE t>ei gleichem Emitter- der Differenzspannung 0 angesteuert, dann ent-
strom /E? deren Temperaturgang und die stehen zwar geringfugig unterschiedliche Kol-
Stromverstarkung jS beider Transistoren, die lektorstrome, sie erzeugen aber an den unglei-
nur wenig voneinander abweichen diirfen. Hier- chen Widerstanden gleiche Spannungen.
bei gilt: je weniger die Parameter voneinander Dieses Verfahren findet wegen folgender drei
abweichen, desto besser und desto teurer ist der Vorteile haufig bei integrierten Operationsver-
Doppeltransistor. In der Schaltung mussen starkern Verwendung:
diese guten Daten erhalten bleiben. Die Basis- 1. Es entsteht ein kleiner Korrektur bereich mit
Emitter-Spannung U^^ ist nur dann gleich, groBer Auflosung, wenn R^Q <^ R^^.
wenn beide Transistoren die gleiche Temperatur 2. Ist keine weitere Korrektur der Offsetspan-
haben, die sie aber nur bei gleicher Verlustlei- nung erforderlich, kann R^ weggelassen wer-
stung P erreichen. Sind die KoUektor-Emitter- den, und die Anschliisse storen nicht.
3.2 Analoge Grundschaltungen mit bipolaren Transistoren 189

3. Die Schaltung ist gegen die Einstreuung von o + U^


Storungen wenig empfindlich, da die Korrek-
tur beim verstarkten Signal stattfindet.
In Bild 3-41 b geschieht die Korrektur durch
unterschiedliche Emitterwiderstande. Dabei
werden die verschiedenen Basis-Emitter-Span-
nungen U^^ beider Transistoren durch unter-
schiedliche Spannungsabfalle (7^^ ^^ ^^^ t)ei-
den Emitterwiderstanden R^ zu zwei gleichen
Spannungen U^^ erganzt. Die von auBen sicht-
bare Offsetspannung ist 0 V. Nachteilig ist mit-
unter die Gegenkopplung durch den Emitter- o-(y^
widerstand R^/2, der die Verstarkung VQ ver-
Bild 3-42. Differenzverstarker mit Stromgegenkopp-
mindert. Da die Korrektur im Basis-Emitter- lung.
Basiskreis stattfindet, ist die Schaltung gegen
eingestreute Storungen empfindlich.
In Bild 3-41 c erfolgt die Korrektur durch eine V, =
^P'Rc
entgegengesetzte Spannung am invertierenden 2r,, + 2-)5-i^Ei'
Spannungseingang, indem man die Offsetspan-
nung am nicht benutzten Eingang von aufien -P'Rc
zufuhrt. Die Schaltung ist einfach zu uberbHk- ^b2 ^e- 2 r , , + 2-jg-KE2
ken. Da die Korrektur im Basiskreis erfolgt,
konnen dort leicht Storungen aufgenommen Die Gegenkopplung setzt die Verstarkung bei
und mitverstarkt werden. niederen Frequenzen herab (rote Kurve in Bild
3-43). Zum Ausgleich setzt der Verstarkungsab-
3.2.5.4 Gegenkopplung im Differenzverstarker fall erst bei hoheren Frequenzen ein. Das Ver-
starkungs-Bandbreiteprodukt (Abschn. 3.2.7.1)
Den Differenzverstarker kann man, genau wie bleibt erhalten.
die einfache Emitterschaltung, durch einen
Emitterwiderstand gegenkoppeln (Bild 3-42).
Damit kann man auf Kosten der Verstarkung 3.2.6 Darlingtonschaltung
die Stabihtat verbessern und den Frequenzgang Fiir manche Anwendungen reicht die Stromver-
linearisieren. starkung eines Transistors nicht aus. Dann
Die Verstarkung des Differenzverstarkers mit kann man zwei Transistoren so hintereinander
der Gegenkopplung durch die Widerstande R^ schalten, daB sich ihre Stromverstarkungswerte
betragt: P^ und P2 zum neuen Wert P multiplizieren, wie

Bild 3-41. Korrektur der Offsetspannung eines Differenzverstarkers.


190 3 Aktive Bauelemente

103 Emitterstrom 1^2 ^^^ Transistors T2 ist


ohne Gk hi = ^B2 • Pi Oder
lEi-hrPi-Pi-
102
Mit /BI = /g, /E2 = ^c und Ic = Pi' Pi' h ergibt sich
mit Gk
P = PrPi- (3-37)
^ 10^
Der Eingangswiderstand des Transistors betragt

'•bei = — und

10°
In PI'IB
Der Transistor T^ arbeitet in Kollektorschaltung, de-
10- ren Lastwiderstand der Basis-Emitter-Widerstand
10° 10^ 102 10^ 10^
f/kHz rbe2 des Transistors T2 ist. Der Eingangswiderstand
Bild3-43. Frequenzgang des Differenzverstarkers r^e dieser Kollektorschaltung ist
mit und ohne Gegenkopplung Gk. Ur UJ

+ Pir^^ = 2 ^ =2rH, (3-38)

Der differentielle Ausgangsleitwert, g* = /1J2' errech-


net sich aus der Parallelschaltung des Leitwerts von
T2, ^22 T2 und dem von T2 verstarkten Leitwert von
Tj zu
d/c d(t/cE-C/BE2)^
"22 • rHi
dl/n dc;„
Oder
'Pi- (3-39)
Bild 3-44. Darlingtonschaltung. Mit Hilfe der Darhngtonschaltung kann man
aus zwei Transistoren mit unterschiedlichen
dies die Darlingtonschaltung in Bild 3-44 Eigenschaften einen neuen Transistor mit sehr
zeigt. hoher Stromverstarkung und der Kombination
Die Darlingtonschaltung findet die gleiche Ver- dieser erwunschten Eigenschaften zusammen-
wendung wie ein Transistor mit sehr hoher setzen. Einige Eigenschaften verschlechtern sich
Stromverstarkung. Fiir sie gelten folgende Zu- und soUten beachtet werden. Die obige Rech-
sammenhange: nung zeigt, daB der Ausgangsleitwert des Dar-
lingtontransistors durch den um die Stromver-
Stromverstarkung: starkung vergroBerten Leitwert des Transistors
(3-37) Ti drastisch absinkt. Ebenso wird die Kollek-
tor-Basis-Kapazitat des T^ durch T2 um die
Eingangswiderstand: Stromverstarkung vergroBert. Bedingt durch
(3-38) die Ansteuerung des Transistors T2 liber den
Ausgangsleitwert: Emitter des T^ kann nur Strom in die Basis
(3-39) flieBen, iiberschiissige Ladung im Basisraum
des T2 kann aber nicht abgezogen, sondern muB
verbraucht werden, wodurch die Schaltung
Gl. (3-37) bis (3-39) werden im folgenden hergeleitet. langsam wird. Abhilfe bringt hier ein zusatz-
Fiir die Stromverstarkung P gilt: licher Basis-Emitter-Widerstand R^^ fiir den
Der Emitterstrom I^^ des Transistors T^ ist Transistor T2, den man so dimensioniert, daB
^Ei =^Bi • Pi und der durch diesen der zwei- bis funffache Basisstrom
3.2 Analoge Grundschaltungen mit bipolaren Transistoren 191

des Transistors T2 abflieBt. Der Transistor T^ Grenzfrequenz /g =fjlP bestimmt das Verstar-
kann iibersteuert werden (Bild 3-4, Bereich 2). kungs-Bandbreite-Produkt, wenn andere Ur-
Da die Kollektor-Emitter-Spannung t/cE(T2) ^ sachen erst bei hoheren Frequenzen wirken.
(^BE(Ti) + ^BE(T2)) ^^t, kann T2 nur bis an den Wird die Spannungsverstarkung durch eine
Rand des Ubersteuerungsbereiches betrieben Gegenkopplung vermindert, aber auf eine ho-
werden. Darlingtontransistoren gibt es fur viele here Bandbreite ausgedehnt, dann nimmt zu-
Anwendungen fertig in einem Gehause einge- mindest der Eingangswiderstand der gegen-
baut. gekoppelten Emitterschaltung oberhalb der
Grenzfrequenz /^ ab (Abschn. 3.1.3.2, Bild 3-13).
3.2.7 Verstarker fiir hohere Frequenzen MaBgebend fur das Verstarkungs-Bandbreite-
In vorhergehenden Abschnitten ist gezeigt, daB Produkt eines Transistors sind neben der Tran-
Transistorverstarker bereits bei niedrigen Ar- sitfrequenz fj interne und externe Lastkapazi-
beitsfrequenzen an ihre Verstarkungsgrenze taten, die mit der verstarkten Signalspannung
stofien, wenn man keine besonderen MaBnah- umgeladen werden miissen, wobei ein bestimm-
men trifft. Wahrend bei niedrigen Frequenzen ter Signalstrom in einem Kondensator bei nied-
alle Vorgange im Verstarker reell und damit riger Frequenz einen hohen Hub der Signal-
rein ohmisch sind, setzen drei Effekte die Ver- spannung bewirkt, wahrend derselbe Signal-
starkung bei hoheren Frequenzen herab: strom bei hoher Frequenz nur einen kleinen
Spannungshub erzeugt.
1. Laufzeiteffekte im Halbleiter vermindern die
Stromverstarkung bei hoheren Frequenzen Bild 3-45 zeigt, daB je nach der Dimensionie-
(Abschn. 3.1.3.2, Bild 3-13). rung des Arbeitswiderstandes RQ Verstarkung
2. Parasitare Kapazitaten, die zum Arbeits- und und Bandbreite variieren konnen, das Produkt
Lastwiderstand parallel liegen, verbrauchen aus beiden aber konstant bleibt. Einschrankun-
BUndstrom, der vom Verstarkungselement
aufgebracht werden muB und dem Signal-
strom am Ausgang fehlt.
3. Ausgangsspannungen konnen iiber Riickwir-
kungskapazitaten auf das Eingangssignal
wirken. Hier geniigen geringe Stome, um das
kleine Eingangssignal zu beeinflussen. Je
nach Phasenlage des zuruckgekoppelten Si-
gnals entsteht eine verstarkungsmindernde
Gegenkopplung oder eine verstarkungsstei-
gernde Mitkopplung. Letztere fuhrt haufig
zum selbstandigen Schwingen der Schaltung
(Prinzip der Oszillatorschaltungen). Gegen-
und Mitkopplungseffekte nehmen mit stei-
gender Grundverstarkung der Stufe zu.
3.2.7.1 Grenzen der Verstarkung
(Verstarkungs-Bandbreite-Produkt)
Die Leistungs verstarkung Vp = U^' I^/U^-1^
einer Stufe laBt sich nicht unbegrenzt steigern.
Wie Bild 3-45 zeigt, hat ein Verstarker entweder
eine hohe Verstarkung v oder eine groBe Band-
breite b, aber nicht beides. Das gilt fiir alle Ver-
starker, nicht nur fur Differenzverstarker. Das
Produkt aus beiden GroBen, das Verstdrkungs-
Bandbreite-Produkt b - v'l^i eine KenngroBe, die 0,1 1 10 100 1000
man durch schaltungstechnische MaBnahmen Bandbreite b I MHz
ausnutzen, aber nicht iiberschreiten kann. Der Bild 3-45. Verstarkung und Bandbreite bei verschie-
Abfall der Stromversorgung j8 oberhalb der denen Kollektorwiderstdnden.
192 3 Aktive Bauelemente

gen der Bandbreite durch Ruckwirkungskapa-


zitaten soUte man durch geeignete Halbleiter-
typen und eine abgestimmte Schaltungstechnik,
beispielsweise die Kaskodeschaltung nach Ab-
schn. 3.2.8, vermeiden.
Die Bandbreite eines Verstarkers mufi nicht bei
Gleichstrom beginnen. Selektive Verstarker ar-
beiten haufig bei hohen Frequenzen, haben
aber nur eine geringe Bandbreite. Durch schal-
tungstechnische MaBnahmen kann man die
Verstarkung, die Bandbreite und die Mittenfre-
quenz verandern. Das Produkt aus Verstarkung
und Bandbreite bleibt aber erhalten, wie Bild Bild 3-47. Selektiver Verstarker mit hoher Mittenfre-
3-46 zeigt. quenz und geringer Bandbreite.

zusammenhangenden Stufen, die verschiedene


10
Aufgaben erfiillen, aber optimal aufeinander
i/ = 10 1^ = 10 abgestimmt sind. Ein Beispiel hierfur ist die
b= 1 MHz 6 = 1 MHz Kaskodeschaltung.
8 -

6 3.2.8 Kaskodeschaltung
Die Kaskodeschaltung stellt eine Kombination
4 der KoUektorschaltung (T^) und der Basisschal-
v=2 tung (T2) dar. Sie eignet sich gut fiir Breitband-
j/ = 2,6 = 5MHz 6 = 5MHz
2 verstarker, da sie bei einfacher Berechnung gute
Werte von der Gleichstrom- bis zur Hochfre-
n 1 1 1 1 ..J_ 1 quenzverarbeitung liefert. Das Prinzip erlautert
2 4 6 8 10 12 14 Bild 3-48.
Bandbreite des Verstarkers ib / MHz
Die Transistoren T^ und T2 sind ausgangsseitig
Bild 3-46. Verstarker mitfestem Verstdrkungs-Band-
breite-Produkt bei verschiedenen Mittenfreqenzen und
in Reihe geschaltet. Deshalb sind mit guter
Bandbreiten. Naherung alle Emitter- und Kollektorstrome
gleich, und es gilt
Selektive Verstarker, beispielsweise die in jedem
^El ~ ^Cl — ^E2 ~ ^C2-
Funkempfanger benutzten Zwischenfrequenz-
verstarker, besitzen statt des ohmschen Arbeits- Die Eingangsspannung U^^ steuert den Basis-
widerstandes einen Parallelschwingkreis, der strom /BI- Fiir die Absolutwerte gilt:
aus einer Spule L, einem Kondensator C und
den parallel geschalteten parasitaren Kapazi-
taten Cp besteht. Bei dieser Schaltung wird Meistens gilt mit guter Naherung
der Blindstrom der Kondensatoren von der
Spule aufgebracht. Je mehr die Arbeitsfrequenz IBI = U^I/P'RE und IE, = U^JRE-
des Verstarkers von der Mittenfrequenz des
Schv^ingkreises abweicht, desto mehr Blind- Fur die Kleinsignalwerte gilt entsprechend
strom muB der Verstarker aufbringen. Bild 3-47
zeigt eine typische Schaltung.
Breitbandige Verstarker mit hoher Verstarkung Fiir den Transistor T2 gilt sinngemaB
miissen mit mehreren Transistoren realisiert /,C2
werden. Dazu konnen mehrere gleiche Stufen, ^B2 —
deren Verstarkung durch eine Gegenkopplung P2
vermindert wurde, in Reihe geschaltet werden. Der Spannungsteiler aus R^ und ^2 '^uB so
Leistungsfahiger sind oft Verstarker mit zwei dimensioniert sein, daB der Basisstrom des
3.2 Analoge Grundschaltungen mit bipolaren Transistoren 193

-o + U^

n«c
-oUr.

D'

D'^^t =FC Ur,= U^

ts X
4^ Co,

oo

Bild 3-48. Kaskodeschaltung.


Transistors T2 keine spiirbare Spannungsande- erreicht dies nicht nur durch eine geschickte Kombi-
rung verursachen kann. Dabei wird der Wech- nation der Kollektor- und der Basisschaltung, son-
selstrom des Basisstroms zweckmaBig vom dern auch durch kleine Wechselspannungen an den
parasitaren Kapazitaten.
Kondensator C geliefert.
Die Ausgangsspannung UQ2 wird Die Basis-Emitter-Kapazitat C^ei liegt nicht parallel
zum Eingang, sondern nur iiber der an r^^ abfallenden
^C2 = ^S -/ C2 ^ C — ^ S — ^ B l ' ^c/^E- Teilspannung, die dynamisch verkleinert wird. Der
Kollektor des Transistors 7^ fuhrt praktisch keine Si-
Die Kaskodeschaltung hat mit zwei Transisto- gnalspannung. Deshalb liegt die Kollektor-Basis-Ka-
ren die gleiche Verstarkung wie ein Transistor in pazitat C^,b direkt parallel zum Eingang, so daB sie
Emitterschaltung. Das Kollektorpotential des nicht dynamisch vergr5Bert wird. Die ohnehin kleine
Transistors T^ liegt fest, d. h., T^ arbeitet in der Kollektor-Emitter-Kapazitat C^^^ belastet nur den
KoUektorschaltung und dient nur der Strom- niederohmigen Ausgang der KoUektorschaltung, in
verstarkung. Die zulassige Kollektor-Emitter- der T^ arbeitet. Der Transistor T2 arbeitet in Basis-
Spannung UCE ^^S Transistors T^ muB nur we- schaltung und hat deshalb einen sehr kleinen Ein-
nig groBer sein als der Spannungshub des gangswiderstand. Mit C^^2 entsteht deshalb nur eine
kleine Zeitkonstante, die den Frequenzgang kaum be-
Eingangssignals, so daB man einen schnellen einfluBt. Wie Bild 3-48 zeigt, hegt am Kollektor des
Transistor mit kleiner Verlustleistung und klei- Transistor T2 die groBte Signalspannung, die vom
ner Kollektor-Emitter-Spannung UQ^ verwen- Kondensator C^^2 belastet wird, wahrend man die
den kann. Der Transistor T2 arbeitet in Basis- kleinere Kollektor-Emitter-Kapazitat C^^2 meistens
schaltung, da das Basispotential fest ist und am vernachlassigen kann. Neben dem frequenzabhangi-
Emitter bereits der voile Signalstrom einge- gen Abfall der Stromverstarkung ^ der Transistoren
speist wird. Er verarbeitet den gleichen Kollek- bestimmt die Kollektor-Basis-Kapazitat C^^2 ™^ ^^^
torstrom wie der Transistor T^, muB aber we- parallel geschalteten Lastkapazitat C^ und dem Ar-
gen der groBeren Signalspannung eine hohere beitswiderstand R^ die obere Grenzfrequenz der Kas-
kodeschaltung.
Verlustleistung verarbeiten konnen. Wenn der
Betrieb in der Basisschaltung erfolgt, darf die Wegen der Trennung von Strom- und Span-
hochste Betriebsfrequenz viel naher an der nungsverstarkung fuhren alle Transistorelek-
Transitfrequenz /^ sein, als dies bei einer Emit- troden nur kleine Signalspannungen, die in den
terschaltung moglich ware. parasitaren Kapazitaten nur kleine Strome ver-
Durch den gegeniiber der Emitterschaltung zusatz- ursachen. Mit geeigneten Transistoren kann
lichen Transistor wird Bandbreite gewonnen. Man man groBe Signalspannungen bei Bandbreiten
194 3 Aktive Bauelemente

weit uber 100 MHz erzielen. Die Schaltung eig- 3.3.1 Sperrschicht-Feldeffekttr ansistoren
net sich gut fur Ablenkverstarker in Oszillosko- (JFET)
pen, die bei der geforderten Bandbreite noch Der Aufbau und die Arbeitsweise des Sperr-
10 V bis 30 V Ausgangsspannungshub erfor- schicht-FET ist in Bild 3-49 erlautert. Die Elek-
dern. troden des Strompfades bezeichnet man mit
Quelle (Source) und Senke (Drain), die Steuer-
3.3 Feldeffekttransistoren elektrode als Tor (Gate).
Feldeffekt-Transistoren, abgekiirzt FET, arbei- Bild 3-49 a zeigt den Halbleiterblock aus n-lei-
ten nach einem ganz anderen Prinzip als bipo- tendem Silicium mit den Anschliissen Source
lare Transistoren (Bild 3-1 in Abschn. 3.1). Bipo- und Drain fur den Strompfad und dem Gate als
lare Transistoren bestehen aus p- und n-dotier- Steuerelektrode, die durch einen in Sperrich-
ten Halbleiterwerkstoffen. Der Strom flieBt tung vorgespannten pn-Ubergang vom strom-
durch drei verschieden dotierte Halbleiter- fiihrenden Kanal getrennt ist. Das Feld der
schichten vom Kollektor zum Emitter und wird Steuerelektrode erzeugt eine Raumladung, die
von einem Basis^/rom gesteuert. Die Ansteue- Ladungstrager aus dem Randbereich des Ka-
rung erfordert eine kleine Leistung. Der Feld- nals verdrangt, der wegen des jetzt geringeren
effekttransistor dagegen besteht aus einem
Block Halbleitermaterial mit nur einer Dotie- ^s=ov UQ = -2,b\J tyD = + 12V
rung, beispielsweise Silicium oder Galliumarse-
nid. In diesem Block sind nur die Majoritatstra-
ger, Elektronen oder Locher, an der Strom-
leitung beteiligt. Man bezeichnet ihn deshalb
auch als unipolaren Transistor. Ein von auBen
auf diesen Block einwirkendes elektrisches Feld Drain
beeinfluBt die Ladungstrager im Block und da- (Senke)
mit seinen elektrischen Widerstand. Der Strom-
fluB wird durch eine Steuerspannung und das leitfahiger ^ _ ^
von ihr erzeugte elektrische Feld gesteuert. Die Bereich ^ate
bei (;ro = - 2 , 5 V
Steuerung ist leistungslos. 1st die Steuerelek-
trode durch einen in Sperrichtung vorgespann- b)
tQn pn-Ubergang vom leitenden Kanal getrennt,
dann bezeichnet man den Transistor als Sperr- ^D

schicht-FET (Qngl: Junction-FET oder JFET).


Ein weiterer Typ, der Metal-Oxid-Semiconduc-
tor-FET, oder kurz MOSFET, benutzt meistens
ein Oxid des Halbleiters (Si02) als Isolierung /
zwischen dem leitenden Kanal und dem Gate.
Sein etwas abweichender Aufbau wird in Ab-
Source Drain
schnitt 3.3.2 beschrieben. (Quelle) (Senke)
Es gibt p-Kanal- und n-Kanal-Feldeffekttransi-
storen, die sich fur den Anwender in erster Li- ^s=OV ^ (;n=+i2v
nie durch die Polaritat der erforderlichen Be-
triebsspannungen und -strome unterscheiden.
Die Berechnungsverfahren sind gleich, und die -5 \ i' OV 1 1 p
geringen Unterschiede der elektrischen Eigen- ¥£
schaften werden zweckmaBigerweise den Da-
tenbiichern der Hers teller entnommen. Es gibt Source Drain
(Senke)
wesentHch mehr verschiedene n-Kanal-Typen, (Quelle)
u±kf^^ " JV
da diese einfacher herzustellen sind und bessere T ^ p
Eigenschaften haben. Die Funktion und der
Schaltungsaufbau seien deshalb im folgenden Bild 3-49. Aufbau und Arbeitsweise des Sperrschicht-
fur n-Kanal-Typen erklart. Feldeffekttransistors (FET).
3.3 Feldeffekttransistoren 195

effektiven Querschnitts hochohmiger wird. Be- Ladungstrager ist durch den engen Querschnitt
wegliche Ladungstrager konnen in diese Raum- und ihre Beweglichkeit durch die Art des Halb-
ladungszone nicht eindringen, d. h. eine Strom- leitermaterials begrenzt. Deshalb kann der
leitung findet nur im iibriggebliebenen Kanal Drainstrom /D trotz eines starkeren elek-
statt, der im Bild 3-49 rot gerastert ist. Die trischen Feldes in der Langsrichtung des Ka-
Sperrschicht-FET sind immer selbstleitend; die nals (durch die hohere Drain-Source-Spannung
Ansteuerung kann die Leitfahigkeit nur ver- [/DS ) nicht weiter ansteigen. Der Drainstrom /D
ringern. Feldeffekttransistoren sind normaler- hangt nur noch von der Steuerspannung UQ^,
weise symmetrisch aufgebaut, d.h. die elek- aber fast nicht mehr von der Drain-Source-
trischen Eigenschaften des FET bleiben erhal- Spannung [/DS ab.
ten, wenn man Drain und Source vertauscht.
Bild 3-49 b gibt den zugehorigen Potentialver- 3.3.1.1 Kennlinien und Arbeitsbereiche
lauf entlang des Kanals und die steuernde des Feldeffekttransistors
Gate-Source-Spannung UQ wieder. Bild 3-49 c Der Arbeitsbereich des Feldeffekttransistors
zeigt den Querschnitt des Kristalls mit verschie- laBt sich nach Bild 3-50 in vier wichtige Be-
den groBen Raumladungszonen, die von unter- reiche unterteilen.
schiedlichen Gate-Source-Spannungen erzeugt Bild 3-50 a zeigt die IJbertragungskennhnie
werden. GroBe und Form der Raumladung (Steilheit iS) zwischen der Steuerspannung UQ^
sind durch die Potentialdifferenz zwischen dem und dem zugehorigen Drainstrom und Bild
Gate und dem Potential langs des Kanals be- 3-50 b das Ausgangskennlinienfeld mit den ver-
stimmt. Je groBer das elektrische Feld zwischen schiedenen Arbeitsbereichen.
einem Element des Kanals und der Steuerelek-
trode, dem Gate, ist, desto mehr verringert die 3.3.1.2 Ohmscher Bereich
Raumladung den leitfahigen Teil des Kanals In der Nahe des Koordinatenursprungs, bei
(engl.: channel). Den Feldeffekttransistor kann kleinen Spannungen U^^ und kleinen Drain-
man somit als steuerbaren Widerstand ansehen, Stromen 7^, verhalt sich der FET wie ein ohm-
dessen Wert von der Gate-Source-Spannung scher Widerstand, der mit der Gate-Spannung
UQ^ und von der Drain-Source-Spannung C/^s I/QS gesteuert wird. l/^s muB klein sein, darf
des FET bestimmt wird. aber negativ werden. Der FET eignet sich des-
Mit zunehmender Drain-Source-Spannung U^^ halb in diesem Bereich als Steuerelement fur
nimmt der Drainstrom nicht linear zu, wie das kleine Wechselspannungen. Der von der steuern-
bei einem Widerstand zu erwarten ist, sondern den Gate-Source-Spannung abhangige Kanal-
steigt erst immer weniger und bleibt danach widerstand des FET (Bild 3-50 c), ist gut fur
trotz weiter steigender Spannung U^^ konstant analoge Steuerungen in elektronischen Schal-
(Bild 3-50 b). Die Ursache dafur ist die Ein- tungen zu verwenden. Der Kanalwiderstand r^^
schniirung des leitenden Kanals (engl.: pinch entspricht dabei dem Kehrwert der Steilheit S
off) in der Nahe des Drain-Anschlusses (Bild in dem jeweihgen Arbeitspunkt (Abschniirbe-
3-49 a). Die Zahl der fiir den Strom wirkenden reich in Abschn. 3.3.1.4):

c) Ohmscher Bereich

Bild 3-50. Kennlinien und Arbeitsbereiche des n-Kanal-Sperrschicht-Feldeffekttransistors.


196 3 Aktive Bauelemente

Begriff ist der Rohrentechnik entnommen. Fiir


rus=VS, (3-40) die Steilheit 5 gilt

Die Steigung der Ausgangskennlinien im ohm-


schen Bereich hangt von der steuernden Gate-
Spannung ab. Hierbei unterscheidet sich der
FET deutlich vom bipolaren Transistor, dessen Die Steilheit hat beim groBten Drainstrom ihr
Ausgangskennlinien in diesem Sattigungsbe- Maximum und nimmt mit abnehmendem
reich immer gleich verlaufen und kaum vom Drainstrom ab. Die Grenze zwischen Trioden-
Basisstrom als aquivalente EingangsgroBe ab- und Abschniirbereich verlauft wie die tjbertra-
hangen (Bild 3-11 in Abschn. 3.1.3.2). gungskennhnie (vgl. die UbertragungskennHnie
Beim FET unterbricht eine groBe Gatespan- aus Bild 3-50 a und die Abschniirgrenze in Bild
nung den Drainstrom bis auf wenige nA (Na- 3-50 b).
noampere), v^ahrend 0 Volt am Gate den Drain-
Source-Widerstand auf den kleinsten Kanal- 3.3.1.5 Durchbruchbereich
widerstand verringern. Deshalb eignet sich der
FET gut zum Schalten kleiner Signale. Bei groBen Drain-Source-Spannungen t/ps
bricht die Gate-Drain-StvQckQ durch, weil hier
3.3.1.3 Triodenbereich die groBte Feldstarke herrscht (Bild 3-50 b). Die
Drain-Source-Durchbruchspannung nimmt des-
Im Triodenbereich (Bild 3-50 b) geht die Stei- halb mit steigender Gate-Spannung (7^8 leicht
gung der Kennlinie vom Wert des Kanalwider- ab. Der gesperrte FET hat die geringste Durch-
standes r^s im ohmschen Bereich in eine sehr bruchspannung l/^s, im Gegensatz zum bipola-
flache Steigung uber, die dem kleinen Aus- ren Transistor, der im gesperrten Zustand die
gangsleitwert des Abschniirbereichs entspricht. hochste KoUektor-Emitter-Spannung U^ES a^s-
Der Triodenbereich endet an der Abschniir- halt. Ein Spannungsdurchbruch zwischen Gate
grenze. Hier ist die Spannung zwischen Drain und Drain zerstort den Transistor.
und Gate gleich der Abschnurspannung Up.

3.3.1.4 Abschniirbereich 3.3.2 MOS-Feldeffekttransistoren


Oberhalb der Abschniirgrenze (Bild 3-50 b) liegt Beim MOSFET oder Insulated-Gate-FET (IG-
der meistgenutzte Arbeitsbereich des FET. Hier FET) ist die Steuerelektrode nicht mit einem
wird durch eine Gate-Spannung I/QS ^^^ Drain- pn-Ubergang, sondern mit einem diinnen, aber
Strom /D gesteuert, der von der angelegten hochwertigen Isolator (meist einem Metalloxid)
Drain-Source-Spannung L/ps weitgehend unab- vom leitenden Kanal getrennt. Unabhangig
hangig ist. Die Drain-Source-Spannung, bei der von der Polaritat (p- oder n-Kanal) kann die
der Triodenbereich in den Abschniirbereich Steuerelektrode positiv und negativ gegen die
ubergeht, nennt man Abschnurspannung (engl.: Source werden und trotzdem immer stromlos
pinch-off-voltage Up). Der Zusammenhang zwi- bleiben. Dadurch kann man den Strom im Ka-
schen der Steuerspannung UQ^ und dem Drain- nal mit Hilfe der Gate-Spannung nicht nur ab-
strom /DS ist nicht linear, sondern genugt fol- schwachen, sondern auch verstarken.
gender Gleichung: Bild 3-1 in Abschnitt 3.1.1 zeigt die schon von
den Sperrschicht-FET bekannten p- und n-Ka-
naltypen, die als Verarmungstypen (depletion
mode) arbeiten und die nur in MOSFET-
Technologie moglichen Anreicherungstypen (en-
Dabei ist /^ss der Drain-Source-Strom bei hancement mode), deren Drain-Source-Strecke
kurzgeschlossener Gate-Source-Strecke (d. h. bei fehlender Gate-Spannung stromlos ist.
UQ^ = 0) und Up die zum Abschniiren des Bild 3-51 a zeigt das Prinzip eines selbstsperren-
Drain-Stromes notwendige Gate-Source-Span- den MOSFET (n-Kanal-Anreicherungstyp). In
nung. Die Ubertragungscharakteristik zwi- das p-leitende Halbleitermaterial sind zwei n-
schen der Gatespannung UQ^ und dem Drain- leitende Inseln, Source und Drain, eindotiert.
strom /DS bezeichnet man als Steilheit S. Dieser Trotz angelegter Spannung bleibt die Drain-
3.3 Feldeffekttransistoren 197

a) Prinzip Metallgate machen ihn niederohmiger. Wie beim Sperr-


OV OV / +12V
schicht-FET hangt die leitfahige Kanaldicke
vom Potentialunterschied zwischen dem Gate
Source s Gate G / Drain D
und dem Kanalelement ab. Der Kanal wird
, r^ 1 / , ,„-^Oxid deshalb zum Drain-AnschluB hin dunner, der

1 U p-Typ Substrat
k
n
J
Drainstrom kommt in den Sdttigungsbereich
und bleibt trotz steigender Drainspannung
konstant.
Beim MOSFET-Verarmungstyp sind die beiden
b) Positive Gate-Spannung
Inseln durch einen diinnen Kanal mit gleicher
Polaritat verbunden. Der n-dotierte Bereich des
OV +3V +12V
spannungslosen MOSFET ist in Bild 3-51 c rot
S D gezeichnet. Sobald eine Spannung zwischen
= ^ \ Drain und Source liegt, entsteht eine Ladungs-
=-j»—rr + + + + + 1 + +
verteilung wie in Bild 3-51 b. Hier ffieBt auch
( ^
l++^V J^^J
\+ + + + + +/
bei (7GS = 0 ein Drainstrom; dieser MOSFET
p v+ + + + +y heiBt deshalb Verarmungstyp. Der Drainstrom
kann mit der Steuerspannung erhoht oder ver-
ringert werden. Bild 3-52 zeigt die Ubertra-
MOSFET-Verarmungstyp gungs- und Ausgangskennlinien eines selbst-
OV OV OV sperrenden n-Kanal-MOSFET. Ohmscher,
Trioden- und Abschniirbereich sind ahnUch wie
S G b beim Sperrschicht-FET vorhanden, der Durch-
bruchbereich ist weniger genau als beim Sperr-
schicht-FET defmiert.
\
Der Anwendungsbereich der MOS-Technolo-
gie hat sich in den letzten Jahren stark erweitert.
Heute kann man damit Kleinsignal- und Lei-
Bild3-51. Aufbau und Wirkungsweise eines MOS-stungstransistoren sowie Hochfrequenzverstar-
FET. ker und integrierte analoge und digitale Schal-
Source-Strecke stromlos, da die beiden gegen- tungen herstellen. Die MOS-Technologie eignet
einander geschalteten pn-Ubergange jeden sich besonders fiir digitale integrierte, auch
StromfluB verhindern. Die Oberflache ist mit hochintegrierte Schaltungen, da sich sehr
einer diinnen Oxidschicht isoliert, dariiber ist schnelle Schaltkreise mit groBem Storabstand
die Gate-Elektrode aus Metall aufgedampft. und geringem Stromverbrauch auf einer klei-
Die Source-Elektrode wird meistens intern mit nen Substratflache herstellen lassen (Abschn.
dem Substrat verbunden, manchmal ist dessen 12.1.4).
AnschluB aber auch herausgefuhrt. Beim Lei- Wegen der ahnhchen Funktion des Sperr-
stungs-MOSFET stellt diese Verbindung die schicht-FET und des MOSFET werden die
Substratdiode zwischen Source und Drain dar, Eigenschaften beider Typen gemeinsam be-
die bei umgepolter Drain-Source-Spannung lei- schrieben. Auf wichtige Unterschiede wird hin-
tend wird. gewiesen.
Bild 3-51 b zeigt denselben Halbleiter-Kristall
mit einer positiven Spannung am Gate. Das 3.3.2.1 Eingangswiderstand
p-dotierte Grundmaterial enthalt Locher als Der Sperrschicht-FET ist ein leistungslos ge-
Majoritatstrager und Elektronen als Minori- steuerter Verstarker, dessen Steuerelektrode
tatstrager. Letztere werden vom Feld der Gate- durch einen in Sperrichtung vorgespannten pn-
Elektrode bis an die Gate-Isolierung gezogen Ubergang vom Kanal im Ausgangskreis ge-
und bilden einen n-leitenden Kanal zwischen trennt ist. Deshalb flieBt nur ein sehr kleiner
den beiden n-leitenden Inseln Drain und Reststrom von 10"^ A bis 10'^^ A in das Gate.
Source. Mit zunehmender Gate-Spannung wer- Aus diesem Grunde ist der Eingangswiderstand
den mehr Elektronen in den Kanal gezogen und sehr grofi, und er betragt bei 1-V-Gate-Span-
198 3 Aktive Bauelemente

Bild3-52. Kennlinien des selbstsperrenden n-Kanal-MOSFET.


nung in Sperrichtung des pn-Ubergangs iiber wird als Steilheit, manchmal auch als Vorwdrts-
1000 MQ. Bei Frequenzen oberhalb 1 MHz steilheit S(S = AI^^/AUQS), bezeichnet. Im an-
kann die Eingangskapazitat storen, die je nach gelsachsischen Sprachgebrauch nennt man sie
Typ und nach der angelegten Gate-Spannung transconductance (Einheit mho). Der Name ist
1 pF bis 50 pF betragt. Wie bei jedem anderen von der Steilheit der Kennlinie /^ =f{UQ^) ab-
pn-Ubergang nimmt die Sperrschichtkapazitat geleitet (Bild 3-50 a). Wie Bild 52 a zeigt, hdngt
mit zunehmender Sperrspannung ab. die Steilheit vom Arbeitspunkt ab, d.h. sie
Beim MOSFET fuhrt der hochwertige Isolator nimmt mit dem Drainstrom zu. Fiir einen ana-
zwischen Kanal und Gate zu Eingangswider- log verstarkenden FET ist die Steilheit die wich-
standen zwischen 10^^ Q und 10^^ Q. Diese tigste KenngroBe. Die Dimension der Steilheit
hohen Werte sind selten erforderlich und lassen ist 1 mA/V Oder 1 mS, bei Leistungstransisto-
sich beim Schaltungsaufbau nur unter besonde- ren auch 1 A/V oder 1 S, im angloamerikani-
ren Vorkehrungen ausnutzen, da auf der Ober- schen Sprachgebrauch schreibt man dafur
flache der tragenden Isolierwerkstoffe, beispiels- 1 mho (ohm riickwarts).
weise der Leiterplatte, durch Verunreinigungen
und Feuchtigkeit parasitare Widerstande ent- 3.3.2.3 Ausgangsleitwert
stehen, die um mehrere GroBenordnungen klei-
ner sind als der Eingangswiderstand des MOS- Eine Anderung der Drain-Source-Spannung
FET. Dagegen ermoglicht die Isolierung des L^Ds verursacht eine Anderung des Drain-
Gates gegen den Kanal bei der Anwendung als Stroms /D. Der Quotient aus beiden ist der dif-
Schalter oder gesteuerter Widerstand eine voll- ferentielle Ausgangsleitwert g^ oder der Aus-
stdndige Trennung des Steuer- und des Aus- gangsleitwert des unbeschalteten Transistors
gangskreises. (Abschn. 3.1.3.3 Ausgangsleitwert bei bipola-
ren Transistoren). Es gilt
Der Eingangswiderstand bei Wechselspannung
wird nur von der Eingangskapazitat bestimmt.
Sie liegt zwischen 1 pF bei HF-Kleinsignaltran-
sistoren und mehreren 1000 pF bei den Lei-
stungs-MOSFET. Die Eingangskapazitat ist
bei der Dimensionierung der Schaltung unbe- Bei kleinen Drain-Source-Spannungen U^^ und
dingt zu beriicksichtigen. kleinen Drain-Stromen /^ sind Ausgangsleit-
wert und Kanalleitwert gleich (Bild 3-50 c). Mit
3.3.2.2 Steilheit zunehmender Drain-Source-Spannung sinkt
Der Quotient aus der Anderung des Drain- auch der differentielle Ausgangsleitwert und
Stroms A/D und der Anderung der Gate-Span- erreicht im Sattigungsgebiet seinen kleinsten
nung AC/GS ist ein MaB fur die Verstarkung und Wert. Bei den Sperrschicht-FET Hegt der Aus-
3.3 Feldeffekttransistoren 199

gangsleitwert meist zwischen 10 |iS und 100 jiS.


Fur den MOSFET ist der mogliche Bereich viel
groBer; allgemeingiiltige Zahlenwerte lassen
sich jedoch nicht angeben. Moderne MOSFET
haben kleine Ausgangsleitwerte, bei den Lei-
stungs-MOSFET liegen sie erheblich unter de-
nen vergleichbarer bipolarer Transistoren.

3.3.2.4 Spannungsriickwirkung Bild 3-53. Ersatzschaltbild des Feldeffekttransistors


in Source-Schaltung.
Andert sich die Drain-Source-Spannung des
FET, dann beeinflufit sie iiber die Drain-Gate-
Kapazitat auch die Gate-Spannung. Bei niede- Die nachfolgenden Gleichungen (3-44) und
ren und mittleren Frequenzen ist die Span- (3-45) beschreiben mit den j-Parametern den
nungsruckwirkung nur kapazitiv. Die Riick- Zusammenhang zwischen dem Eingangsstrom
wirkungskapazitat kann je nach der Konstruk- IQ und dem Drainstrom /D mit Hilfe der Gate-
tion und dem Anwendungsgebiet des FET spannung UQ^ und der Drain-Source-Spannung
Werte zwischen 0,005 pF bei den Dual-Gate-
MOSFET fiir HF-Verstarker und 1000 pF bei
den MOSFET fur groBe Strome betragen.

3.3.3 Weitere Kennwerte Ausgehend von diesen Gleichungen sind die y-Para-
der Feldeffekttransistoren meter als Matrix dargestellt.
3.3.3.1 F-Parameter als Kennwerte
des Feldeffekttransistors
Entsprechend den /z-Parametern bei bipolaren
Transistoren (Abschn. 3.1.3.5) benutzt man bei Mit den y-Parametern lassen sich Hochfrequenz-
den FET die j^-Parameter. Sie kennzeichnen die schaltungen gunstig berechnen. Die ^'-Parameter sind
Ubertragungseigenschaften als Leitwerte. Zu dann komplex und hangen vom gewahlten Arbeits-
den j^-Parametern gehort das ErsatzschaUbild punkt ab.
nach Bild 3-53, in dem die Leitwerte mit g be-
zeichnet sind. 3.3.3.2 Rauschen
Tabelle 3-3 zeigt den Zusammenhang zwischen Unipolare Transistoren rauschen im allgemeinen
den Transistorkennwerten, den j-Parametern weniger als bipolare, bei denen der Strom iiber
und die elektrische Zusammensetzung dieser zwei pn-Ubergange flieBt. Beim Sperrschicht-
Parameter. FET entsteht durch Erzeugung und Rekombi-
Die deutschsprachige FachHteratur indiziert die nation von Ladungstragerpaaren bei niedrigen
>'-Parameter mit Zahlen, wahrend im anglo- Frequenzen ein Rauschen, das mit 1// ab-
amerikanischen Sprachgebrauch Buchstaben nimmt. Bild 3-54 zeigt die Rauschspannung U^
ubUch sind. Die Vorwartssteilheit wird im in Abhangigkeit von der Frequenz / . Haufig
Deutschen oft mit S, im Enghschen mit g^^ be- wird die Rauschspannung bei einer gegebenen
zeichnet. Mittenfrequenz in nV/^/Hz angegeben. Im

Tabelle 3-3. Zusammenhang zwischen Transistorkennwerten und j-Parametern.


KenngroBe interner j-Parameter in Zusammensetzung
Leitwert Source-Schaltung

Eingangsleitwert ^^(CDG + QG)


Ruckwirkungsleitwert 9R yi2s=yrs -J to CDG
Vorwartssteilheit S y2u=yfs
Ausgangsleitwert >'22s=>'os ^DS + y ^ <^DG
200 3 Aktive Bauelemente

den Anreicherungs-MOSFET wird meist der


Strom bei L/^s = ^ angegeben. Er liegt bei 25 °C,
je nach Leistung und Typ, zwischen 10 nA und
1mA.
3.3.3.4 Temperaturverhalten
Der Drain-Source-Strom /D hangt auch von der
Kristalltemperatur ab, wie Bild 3-55 zeigt. Mit
zunehmender Temperatur nimmt einerseits das
Kontaktpotential zwischen Gate und dem Kanal
10^ 102 10^ lO'^ 10^ 10^ 10^ 10^ 10^ ab, der Betrag der Gate-Spannung UQ^ zum
Frequenz f I Hz
Sperren eines bestimmten Drain-Stroms /^
Bild 3-54. Rauschen des Sperrschicht-FET als Funk- nimmt aber zu. Bei konstanter Spannung [/^s
tion der Frequenz. steigt der Drain-Strom /j). Andererseits vermin-
groBten Teil des Frequenzbereichs, von etwa dert die steigende Temperatur die Beweglichkeit
1000 Hz bis 100 MHz, stort nur das geringe der Ladungstrdger, wodurch der Drainstrom /p
thermische Rauschen des Source-Drain-Kanal- und auch der Sattigungsstrom /^^s sinkt. Beide
widerstandes. Oberhalb 100 MHz nimmt das Effekte wirken gegeneinander und heben sich in
Rauschen wieder deutUch zu, was wegen der einem bestimmten Arbeitspunkt ganz auf, der
abnehmenden Verstarkung oder der geringen vom Typ und dem Exemplar abhangt.
Bandbreite bei hoherer Verstarkung weniger Bild 3-55 zeigt auch, daB die Steilheit mit zu-
auffallt. nehmender Temperatur abnimmt. Die Sperr-
Die MOSFET rauschen bei niedrigen Frequen- schicht- und MOSFET verhalten sich ahnUch.
zen wesentUch starker, weswegen sie in Nieder-
frequenzverstarkern bei kleinen Signalpegeln
keine Verwendung fmden. Durch das geringe
Rauschen bei hohen Frequenzen oberhalb
100 kHz und die gute Linearitat kann man
Signale mit groBer Dynamik verstarken. Die
Kleinsignal-MOSFET eignen sich deshalb gut
fur Hoch- und Zwischenfrequenzverstarker.
Bei groBeren Signalpegeln, beispielsweise bei
MOS-Leistungstransistoren, ist das Rauschen
weniger wichtig.

3.3.3.3 Reststrome
Die Strome zwischen dem Gate und dem Kanal
betragen bei den Sperrschicht-FET 10~^ A bis
10"^^ A, der Strompfad vom Gate zum Kanal
verhalt sich wie ein pn-Ubergang. Wird die
Gate-Source-Spannung umgepolt, dann steigt Bild 3-55. Einflufi der Sperrschichttemperatur auf
der Gate-Strom stark an. Bei den MOSFET die Ubertragungskennlinie lD=f(UQ^) des Feldef-
ist das Gate iiber einen reinen Isolator vom fekttransistors.
Kanal getrennt; der Reststrom bleibt unabhdn-
gig von der Polaritat der Gate-Spannung unter 3.3.3.5 Grenzfrequenz
10"^^ A bis 10"^^ A. Die Gate-Reststrome Das auf den Kanal wirkende elektrische Feld
oder Gate-Leckstrome (leakage-current) kann andert dessen Leitfahigkeit praktisch tragheits-
man deshalb in den meisten Schaltungen ver- los. Durch interne Widerstande und Kapazita-
nachlassigen. ten eilt das Feld der angelegten Gate-Spannung
Der Drain-Source-Reststrom betragt bei den nach. In Bild 3-56 ist ein vereinfachtes Ersatz-
Sperrschicht-FET etwa 1 nA, bei 25 °C und schaltbild des Feldeffekttransistors fur hohe
1 [lA bei 150°C Sperrschichttemperatur. Bei Frequenzen dargestellt.
3.3 Feldeffekttransistoren 201

^sr; •*" ^ n 3.3.4.2 Sperrspannungen


SUr,
Je diinner die Oxidschicht oder der pn-Uber-
gang zwischen dem Gate und dem Kanal ist,
Un^ =^C.
D' Un^ iC, desto besser wirkt die Steuerspannung, aber
desto empfmdlicher wird der Transistor gegen
Uberspannungen. Eine zu groBe Steuerspan-
So- nung zerstort die IsoHerung, auch wenn es nur
ein kurzer Impuls ist. Wegen der geringen Ka-
Bild3-56. Vereinfachtes Ersatzschaltbild des Ein- pazitat kann eine extrem kleine Energie die Iso-
gangs- und Ausgangskreises des Feldeffekttransistors
fur hohe Frequenzen.
Herung durchschlagen. Die Strecke zwischen
dem Gate und dem Kanal ist die empfindlichste
Die Steuerspannung muB am Gate-Kanal-Kon- Stelle aller FET- und MOS-Bauteile. Zum
densator Q G + ^DG anliegen. Die Wechsel- Schutz werden teilweise Z-Dioden zwischen
spannung zwischen Gate und Source ladt die- Gate und Substrat integriert, die aber die Rest-
sen Kondensator iiber den Kanalwiderstand. strome und die Kapazitat zwischen Gate und
Beide bilden zusammen einen Tiefpafi, dessen Source erheblich erhohen. Die Halbleiter miis-
Grenzfrequenz durch die innere Gate-Kanal- sen vor allem wahrend der Verarbeitung, aber
Kapazitat und den Kanalwiderstand r^g be- auch im Betrieb vor diesen Uberspannungen
stimmt wird. Fiir die Kleinsignal-FET liegt die geschiitzt werden (Abschn. 3.5.7, SchutzmaB-
Grenzfrequenz meist oberhalb 1 GHz. Die we- nahmen fur Halbleiter).
sentlich groBere auBere Kapazitat Q^t belastet
Eine zu groBe Drain-Source-Spannung UY^S ver-
zwar die Spannungsquelle, geht aber nicht in
ursacht einen Durchschlag von Drain zum
die Grenzfrequenz ein.
Gate und zerstort den Transistor wie alle ande-
Eine weitere Einschrankung tritt im Ausgangs- ren Uberspannungen auch. Im Gegensatz zum
kreis auf. Der Drain-Strom kann die internen dauernd zulassigen Drainstrom diirfen die
und externen Lastkapazitaten C^ nicht beliebig Grenzwerte der Spannungen auch nicht kurz-
schnell umladen, womit die Geschwindigkeit zeitig uberschritten werden.
der Spannungsanderung dujdt am Lastkon-
densator begrenzt wird. Auch hier kann man 3.3.4.3 Temperaturen
die obere Grenzfrequenz erhohen, wenn die
Bandbreite nicht steigt oder verringert wird Die Sperrschicht der FET und MOSFET darf
hochstens 150°C warm werden; das ist weniger
(Abschn. 3.2.7.1, Grenzen der Verstarkung).
als bei bipolaren Transistoren (200°C). Die
3.3.3.6 Schaltzeiten Reststrome konnen bei dieser Temperatur
lOOOmal groBer sein als bei 25°C. Da mit zu-
Feldeffekttransistoren haben keine internen
nehmender Sperrschichttemperatur die Halb-
Speichereffekte und konnen deshalb sehr schnell
leiter haufiger ausfallen, sollten die Halbleiter
schalten. Die Schaltgeschwindigkeit wird nur
und ihre Kiihlkorper so groB sein, daB die
durch die internen und externen Kapazitaten, Grenztemperatur um 20 K bis 30 K unter-
die Widerstande und den verfugbaren Strom schritten wird.
begrenzt (Abschn. 3.3.3.5, Grenzfrequenz).
3.3.4.4 Verlustleistung
3.3.4 Grenzwerte der Feldeffekttransistoren und erlaubter Arbeitsbereich
3.3.4.1 Strome Die Verlustleistung bestimmt zusammen mit
Die Konstruktion des Transistors bestimmt die dem Warmewiderstand zwischen der Sperr-
hochsten Werte fur Strome und Spannungen, schicht und der Umgebung die Temperatur des
die noch keinen Schaden anrichten. Der groBte Halbleiters. Die Datenblatter geben die zulas-
zulassige Dauerstrom /^ wird im allgemeinen sige Verlustleistung und die zugehorigen Bedin-
vom kleinsten Kanalwiderstand und der zulas- gungen an. Die Verlustleistung darf bei kurzen
sigen Verlustleistung bestimmt. Bei vielen (Lei- Impulsen meist erheblich uberschritten werden
stungs-) Feldeffekttransistoren darf der Drain- und hangt nicht von der augenblickUchen
strom wahrend kurzer Impulse ein Vielfaches Drain-Source-Spannung t/^s ab. Die FET und
des zulassigen Dauerstroms sein. MOSFET haben keinen sekunddren Durch-
202 3 Aktive Bauelemente

bruch (Abschn. 3.1.5.5), da die Leitfahigkeit des Der Ausgangsstrom des Transistors verursacht
Kristalls mit zunehmender Temperatur ab- am Arbeitswiderstand RQ bzw. i?D die er-
nimmt und sich keine Kanale mit hoher Verlust- wiinschte Ausgangsspannung.
leistung ausbilden konnen. Der erlaubte Ar- Der bipolare Transistor hat die Eingangskenn-
beitsbereich ist nur durch die Grenzwerte von linie einer Diode, d.h., eine linear steigende
Strom, Spannung und Verlustleistung be- Eingangsspannung verursacht einen exponen-
stimmt. Die Sperrschicht-FET werden nur fur tiell steigenden Basis- und Kollektorstrom. Da-
kleine Leistungen gebaut, wahrend MOS-Lei- durch weicht die Basis-Emitter-Gleichspan-
stungstransistoren bis liber 150 W Verlustlei- nung nur wenig von ihrem Mittelwert ab. Die
stung Verwendung finden. sehr hohe, aber nicht Uneare Spannungsverstar-
kung muB durch eine Gegenkopplung verrin-
3.4 Schaltungstechnik gert und linearisiert werden.
Der Emitterschaltung des bipolaren Transistors
mit Feldeffekttransistoren entspricht die Sourceschaltung des Feldeffekt-
3.4.1 Ubergang vom bipolaren Transistor transistors. Der Drainstrom /^ wachst mit dem
zum Feldeffekttransistor Quadrat der Gate-Source-Spannung ^^s- ^ a -
bei wird der FET meistens in einem Bereich
Feldeffekttransistoren sind haufig in gleichen geringer Krummung der Ubertragungskenn-
Schaltungen wie bipolare Transistoren einge- linie betrieben, weshalb die Verstarkung ziem-
baut. Bild 3-57a zeigt die wichtigsten Daten des lich Hnear ist. Andererseits unterHegt die Ab-
bipolaren Transistors, Bild 3-57b diejenigen des schnurspannung Up groBen Exemplarstreuun-
FET. Auf diese Eigenschaften sind die Arbeits- gen, so daB sie durch eine Gegenkopplung aus-
widerstande RQ oder R^^ und weitere in der zugleichen ist.
Transistorschaltung abzustimmen.
Die fur den Ein- und Ausgang gemeinsame Bei beiden Typen andert sich die Verstarkung
Elektrode ist mit Masse verbunden und gibt der exemplarabhangig: bei den bipolaren Transisto-
Grundschaltung den Namen. Das auf Masse ren die Stromverstarkung P (100 bis 400) und
und die gemeinsame Elektrode bezogene Ein- bei den FET die Steilheit S (2 mA/V bis 8 mA/V).
gangssignal ist beim bipolaren Transistor ein
kleiner Strom (Bild 3-57 a), beim FET eine 3.4.2 Grundschaltungen
Spannung (Bild 3-57 b). Beide Transistoren er- der Feldeffekttransistoren
halten ihren Strom iiber einen Arbeitswider- Feldeffekttransistoren kann man ebenso wie
stand {RQ beim bipolaren Transistor oder Rj^ bipolare Transistoren in drei verschiedenen
beim FET) aus der Versorgungsspannung U^. Grundschaltungen betreiben (Bild 3-57).

a)
^s Us

Prinzipschaltung
> c • i j^D

ohne
Gegenkopplung C D
^'— Us
S
Us

\ 1 1-
Exemplarstreuung der USE = 0,6V±50mV -Up =2bis6V
Eingangsspannung : klein AUp : groB

Exemplarstreuung der "max


= 2 bis 4 ^ = 2 bis 4
Verstarkung f^min "^min

Bild 3-57. Vergleich der Beschaltung eines bipolaren Transistors in Emitterschaltung mit einem Feldeffekttransi-
stor in Source-Schaltung.
3.4 Schaltungstechnik mit Feldeffekttransistoren 203

Bild 3-58 stellt die wichtigsten Eigenschaften Stromgegenkopplung erzeugt (Abschn. 3.2.3).
der drei Grundschaltungen zusammen. Viele, Bild 3-59 stellt den Arbeitsbereich bei fester
aber nicht alle Eigenschaften bleiben erhalten, Eingangsspannung fur einen FET ohne und mit
wenn man die bipolaren durch Feldeffekttran- Stromgegenkopplung dar.
sistoren ersetzt. Der Eingangswiderstand ist, Bild 3-59 a zeigt die Schaltung und das Kenn-
auBer bei der Gate-Schaltung, nicht mehr vom linienfeld eines FET in Sourceschaltung ohne
Transistor, sondern nur von der Zufiihrung der Gegenkopplung. Die durchgezogene Kennlinie
Gatespannung abhangig. Der frequenzabhan- stellt den Mittelwert, die gestrichelte die groBt-
gige Abfall der Steilheit tritt erst bei mehreren mogliche Abweichung durch die Exemplar-
100 MHz auf und nicht bei 1 MHz. Dafiir ist die streuung dar. Mit zunehmendem Drainstrom
Grundverstarkung meistens geringer als bei bi- /D steigt die Verstarkung deuthch an. Die
polaren Transistoren. Rauscharme Schaltungen Exemplarstreuungen der Abschnurspannung
mit hohem Eingangswiderstand lassen sich mit Up verschieben den Arbeitspunkt und veran-
den FET besser verwirkHchen als mit bipolaren dern die Verstarkung.
Transistoren. Die Gateschaltung fmdet wegen
ihrer geringen Ruckwirkung und der Leistungs- Bild 3-59 b zeigt denselben Verstarker mit einem
anpassung am Eingang nur fur Hochfrequenz- Sourcewiderstand R^ und rot eingetragenen
verstdrker Verwendung. Ihr Eingangswider- Gleichspannungswerten, die den Arbeitspunkt
stand ist auch bei niedrigen Frequenzen klein. verdeutlichen. Am Sourcewiderstand R^ fallt
Die Gateschaltung ist nur selten in Gebrauch. um so mehr Spannung ab, je groBer der Source-
strom /s ist. Ein groBer Sourcestrom fiihrt zu
einem groBen Spannungsabfall am Source-
3.4.3 Stabilisierung des Arbeitspunktes und
widerstand R^ und vergroBert den Betrag der
der Verstarkung durch Gegenkopplung negativen Gate-Source-Spannung UQ^, WO-
Die groBe Exemplarstreuung der Gate-Source- durch der Drainstrom /^ sinkt. Es ist ein Regel-
Spannung zum Erreichen eines bestimmten kreis entstanden, der den Drainstrom /^ und
Drainstroms /^ erfordert eine Stabilisierung des damit die Steilheit S ungefahr konstant halt. Da
Arbeitspunktes. Hierzu eignet sich ein Wider- uber das Gate kein Strom abflieBt, sind Drain-
stand in der Source-Leitung des FET, der eine und Sourcestrom gleich groB.

Sourceschaltung Drainschaltung Gateschaltung

Grundschaltung

-ei
'^•r S
'
(
i j
D
^s

1 V 1
. * ^1
»
s
u.

^a
// 1
''\
S

iG
D

1
r!"•

Verstarkung Spannungsverstarkung > 1 Spannungsverstarkung < 1 Spannungsverstarkung > 1


Stromverstarkung l/j = 1

sehr groR, mit der Boots-


Eingangswiderstand sehr groR klein!
trapschaltung extrem groR

Anwendungsbereich Gleichspannung, NF, HF Gleichspannung, NF, HF wenig benutzt, nur bei hiF

gute Spannungsverstarkung hoher Eingangswiderstand sehr geringe Spannungsrijck-


Besondere Vorteile hoher Eingangswiderstand und geringes Rauschen wirkung vom Ausgang auf
und geringes Rauschen eigenstabile Schaltung den Eingang

Entsprechende Schaltung
bei bipolaren Transistoren Emitterschaltung Kollektorschaltung Basisschaltung
siehe Bild 3 - 2 3 .

Bild3-58. Grundschaltungen der Feldeffekttransistoren.


204 3 Aktive Bauelemente

b)

-m r /

Bild 3-59. Stablisierung des Arbeitspunktes und der Verstdrkung beim Feldeffekttransistor.

In Bild 3-59 b ist die Arbeitsgerade des Source- Teil der Eingangsspannung U^ ab, namlich die
widerstandes R^ in das Kennlinienfeld des FET Gate-Source-Spannung JJQ^, welche zur Ver-
eingetragen. Am Sourcewiderstand R^ fallt die starkung beitragt. Die am Sourcewiderstand R^
Summe aus der Eingangsspannung JJ^ und der abfallende Spannung U^ muB von der Quelle
Gate-Source-Spannung UQ^ ab; ein steigender aufgebracht werden. Deshalb stabilisiert sie nur
Drainstrom /Q erzeugt mehr Spannungsabfall den Drainstrom /^ und damit den Arbeits-
an R^, wodurch die Source-Gate-Spannung punkt.
I/QS erhoht und der Drainstrom I^ verringert Die Aufteilung der Eingangsspannung U^ in
wird. Je groBer R^ ist, desto flacher wird die L^Gs ^^^ ^s ermoglicht die Berechnung der
Arbeitsgerade von R^ und desto genauer wird verminderten Verstarkung des gegengekoppel-
der Gleichstromarbeitspunkt stabilisiert. Der ten Verstarkers. Fiir die Eingangsspannung U^
Sourcewiderstand R^ darf nicht zu groB werden, gilt:
da die Spannung U^ dem FET und seinem Ar-
beitswiderstand fehlt und da R^ auch fur das ^E = ^GS + ^D ' ^ S = ^GS + ^GS ' ^ ' ^S»
Signal eine Gegenkopplung bildet, die die Ver- U^ = Ua (l+S'R,).
starkung mindert. Trotz eines groBen Bereichs
der Abschniirspannung L/p stellt sich ein an- Die Ausgangsspannung U^ hangt nur vom
nahernd konstanter Drainstrom /D mit gerin- Drainstrom /D und dem Arbeitswiderstand Rjy
gen exemplarabhangigen Abweichungen ein. ab, so daB gilt
Entsprechend wenig weicht die Steilheit S von ^ \ = ^D ' ^ D = ^GS * ^ ' ^D»
ihrem Mittelwert ab.
wobei fiir die Spannungsverstarkung VQ gilt
3.4.4 Wirkung der Gegenkopplung
Beim gegengekoppelten FET-Verstarker (Bild Daraus kann die Verstarkung mit Gegenkopp-
3-60) fallt an der Gate-Source-Strecke nur ein lung Ug berechnet werden
3.4 Schaltungstechnik mit Feldeffekttransistoren 205

12V= Der Differenzverstarker mit Feldeffekttran-


sistoren wird genauso wie mit bipolaren Tran-
sistoren aufgebaut. Dazu verwendet man FET,
deren Gate-Source-Spannungen beim Arbeits-
10V=
strom durch das Aussuchen geeigneter Paare
Oder durch das Herstellverfahren nur wenig
^ 4V=
voneinander abweichen. Diese Fehlspannung
^Gsl ist im allgemeinen groBer als bei bipolaren
Transistoren, aber wegen des extrem kleinen
Eingangsstroms entsteht auch an einem sehr
/?9 C^ groBen Innenwiderstand der Quelle keine zu-
satzhche Fehlspannung mehr. Dagegen erzeugt
der Basisstrom bipolarer Transistoren am In-
Bild8-60. FET-Verstdrker mit Stromgegenkopplung. nenwiderstand einer hochohmigen Quelle eine
nicht zu vernachlassigende Fehlspannung. Des-
halb werden Gleichspannungssignale aus hoch-
ohmigen Quellen (> 100 kQ) besser mit einem
Verstarker mit FET-Eingang verarbeitet. Heute
Der gegengekoppelte FET kann als Bauteil mit gibt es Operationsverstarker mit FET-Eingang,
geringerer Steilheit 5* betrachtet werden, wobei deren Offsetspannung (Abschn. 3.2.5.3) nicht
gilt groBer ist als bei guten bipolaren Differenzver-
starkern.
S* = (3-48)
1 + 5 • Kc

Nach Bild 3-59 b hangt die Steilheit S* des ge-


gengekoppelten FET nur wenig von den Exem-
plarstreuungen der Abschniirspannung ab. Die
dort gefundene Steilheit S muB nach Gl. (3-41)
verringert werden, bevor die Verstarkung mit
dem Drainwiderstand R^^ berechnet wird. In
Verstarkern fiir kleine Wechselspannungen (fiir
NF und HF) ist oft eine groBe Verstarkung er-
wiinscht. In diesem Fall kann man den Source-
widerstand R^ mit dem Kondensator C^ fur die
Signalfrequenzen kurzschlieBen. Eine solche
Schaltung hat trotz groBer Parameterstreuun- Bild 3-6i. Differenzverstarker mit Feldeffekttransi-
gen einen stabilen Arbeitspunkt und besitzt die storen.
groBe Verstarkung der nicht gegengekoppelten
Schaltung. 3.4.6 Steuerbare Spannungsteiler
mit Feldeffekttransistoren
3.4.5 Differenzverstarker Bei kleinen Drain-Source-Spannungen C/^s und
mit Feldeffekttransistoren kleinen Drain-Source-Stromen /^s arbeitet der
Aus zwei Feldeffekttransistoren kann man ei- FET im ohmschen Bereich als steuerbarer ohm-
nen Differenzverstarker aufbauen, bei dem nur scher Widerstand. Zusammen mit einem Fest-
die Spannung zwischen den beiden Eingangen widerstand laBt sich ein spannungsgesteuerter
verstarkt wird, wahrend sich die Gate-Source- Spannungsteiler aufbauen.
Spannungen L/QSI ^^^ ^GS2 beider FET kom- Bild 3-62 zeigt einen Spannungsteiler, der aus
pensieren (Bild 3-61). Der Eigenfehler des Ver- einem festen Langswiderstand R^ und einem
starkers bleibt gering, so daB die Schaltung zur FET als spannungsgesteuertem Querwider-
Verstarkung kleiner Gleichspannungen geeig- stand besteht. Der Querwiderstand wird unend-
net ist. lich groB, wenn der FET gesperrt ist, und er
206 3 Aktive Bauelemente

wird nicht kleiner als der Kanalwiderstand i^Ds» E1-


D S

wenn der FET durchgesteuert ist. Das Verhalt- "Ltl


nis RI/RDS bestimmt die groBte Dampfung.
E2-
Wenn der FET hochohmig ist, wird das Signal Ausg.
nur durch R^ und den Eingangswiderstand R^ G
D S
der nachfolgenden Schaltung gedampft. Die E3-
^1J
Schaltung nach Bild 3-62 a eignet sich nur fur
kleine Signale, bei denen der FET noch keine "1
gekriimmte Kennlinie hat. Diesen Nachteil ver- Steuerspannung (ygs " 5 V |+5V| -5V
meidet die Schaltung nach Bild 3-62 b, da die Bild 3-63. Feldeffekttransistoren als Schalter fur
Ansteuerung des FET durch das abzuschwa- analoge Signale.
chende Signal so erganzt wird, daB sein Wider-
stand konstant bleibt. Der Abschwacher funk- rung enthalten, so daB man den Kanal direkt
tioniert genauso, wenn der FET den Langs- iiber eine Adresse mit iiblichen logischen Pegeln
zweig des Spannungsteilers bildet, wahrend der ansteuern kann. Fertige Schaltungen, meistens
Querzweig fest ist. Bei falscher Dimensionie- monoUthisch integriert, werden als Analogmul-
rung dieser Schaltung besteht die Gefahr, daB tiplexer bezeichnet. Wegen der geringen inter-
die Gate-Source-Spannung (7^8 die Signalspan- nen Durchbruchspannungen sind statische Auf-
nung beeinfluBt und sie dadurch verzerrt. ladungen beim Umgang mit diesen empfmd-
lichen Bauteilen sorgfaltig zu vermeiden.

3.4.8 Dual-Gate-MOSFET
(Doppelgate-MOSFET)
Ein Dual-Gate-MOSFET (Doppelgate-MOS-
FET) entsteht, wenn die Steuerelektrode uber
dem Kanal eines MOSFET in zwei Abschnitte
geteilt wird (Bild 3-64).
Der Aufbau eines Dual-Gate-MOSFET ent-
spricht weitgehend dem einfachen MOSFET;
lediglich die Gate-Elektrode iiber der Isolier-
schicht ist senkrecht zum Kanal geteilt, und
beide Gates werden getrennt von auBen ange-
steuert. Sie beeinflussen den Drain-Source-Strom
/DS unabhangig voneinander, sofern nicht ein
Gate den Strom vollstandig abschnurt.
Source Drain

Bild 3-62. Steuerbarer Spannungsteller mit FET.

3.4.7 Feldeffekttransistoren als Schalter


fiir analoge Signale
Feldeffekttransistoren eignen sich gut zum
Bild 3-64. Aufbau und Schaltzeichen eines selbstlei-
Schalten von analogen Signalen. Bei Mehrfach- tenden n-Kanal-Dual-Gate-MOSFET.
schaltern (1 aus n) ist ein FET niederohmig,
wahrend die librigen hochohmig sind, so daB Den Dual-Gate-MOSFET setzt man vorteil-
man den analogen Regelbereich vermeidet. Die haft als regelbaren Verstarker meistens in
Schaltung wird oft mit MOSFET aufgebaut, Hochfrequenzschaltungen ein. Dabei steuert
um Wechselwirkungen zwischen dem Signal das Nutzsignal das Gate 1, wahrend die Regel-
und der Ansteuerung auszuschlieBen (Bild 3-63). spannung am Gate 2 die Steilheit des MOSFET
Diese Schalter gibt es auch als integrierte Schal- beeinfluBt. Damit kann die Verstarkung einer
tung in vielen Varianten. Haufig sind die An- Stufe kontinuierUch um bis zu 40 dB verringert
steuerschaltungen der Gates und eine Dekodie- werden. Der erforderliche Bauteileaufwand ist
3.4 Schaltungstechnik mit Feldeffekttransistoren 207

gering. Die Steilheit S des Verstarkers laBt sich kennzeichnet) hegt unmittelbar am Rand der
nahezu tragheitslos steuern. Wenn an beiden Source-Kontaktierung und umgibt diese in ih-
Gates sinusformige Spannungen verschiedener rem gesamten Umfang. Jeder Chip ist aus meh-
Frequenz liegen, enthalt der Drainstrom /D das reren hundert parallel geschalteten Einzeltran-
Produkt der beiden Steuerspannungen und die sistoren aufgebaut. Hierdurch erhalt man
Summe und die Differenz beider Frequenzen. Der niedrige Drain - Source - Einschaltwiderstande
Dual-Gate-MOSFET kann als multiplikative rDS(ON)- Jeder Source-AnschluB bildet auf der
Mischstufe arbeiten. Es gibt noch eine additive Oberflache des Chips eine charakteristische
Mischstufe, die in Abschn. 3.5.4 beschrieben ist. Vertiefung.
Source Source
3.4.9 MOSFET-Leistungstransistoren Kontaktierung Metallisierung
(Al)
fiir Schalter
Die MOSFET setzt man heute auch fur hohe
Spannungen und Strome ein. Im Schalterbe-
Silicium-
trieb ist der Kanal (die Drain-Source-Strecke) dioxid
entweder gesperrt oder niederohmig; Strom ^ n - Epitaxi
und Spannung hangen weitgehend linear mit-
n+ Substrat
einander zusammen.
Erhoht man die Gate-Source-Spannung UQ^ Stromflul

eines MOSFET, so dafi dieser immer unterhalb Gate


des Abschnurbereiches arbeitet, so stellt dieser (Poly-Silicium)
lediglich einen konstanten Widerstand (rDS(ON) Bild 3-65. Aufbau eines n-Kanal-MOSFET (Aus-
= konstant) dar (Bild 3-52). Vor allem fiir schnitt).
Lasten, die sehr schnell ein- und wieder ausge-
schaltet werden mussen, sind MOSFET-Tran- Hergestellt werden vor allem die n-Kanal-
sistoren hervorragend geeignet. Folgende Vor- MOSFET. Diese werden mit Sperrspannungen
teile weisen sie auf: ^DS(max) ^^^ ZU 1000 V angcbotcn, deren klein-
• Sie lassen sich nahezu leistungslos ansteuern. ster Drain-Source-Einschaltwiderstand bei ei-
• Der Kanal enthalt keinen pn-Ubergang, wo- nem rDS(ON) > 2 Q hegt. Der zur Zeit niedrigste
durch eine Rekombination der Ladungstra- Drain-Source-Einschaltwiderstand von rDS(ON)
ger entfallt und ein sehr schnelles Schalten (t^ = 29 mD wird bei den MOSFET erreicht, die
< 100 ns) moglich wird. eine L/oscmax) = 60 V haben.
• Ein zweiter Durchbruch wie bei Bipolar- Die maximale Verlustleistung Py li^gt bei Tran-
Transistoren existiert nicht, sondern nur ein sistoren mit einem Chip pro Gehause bei Py ^
Avalanche-Durchbruch. Deshalb sind hohe 300 W. Bei den p-Kanal-MOSFET ist das Ty-
Strome problemlos zu schalten. penspektrum wesentlich kleiner. Die Sperr-
• Die Verluste werden hauptsachHch durch den spannungen reichen nur bis zu VQ^ = — 200 V
Drainstrom I^ im Kanalwiderstand rDS(ON) bei einem rDS(ON) von 0,5 Q. Der Typ mit dem
bestimmt. niedrigsten Einschaltwiderstand von r^s (ON) =
• Die von den Herstellern angegebenen maxi- 0,14 Q hat eine Sperrspannung von nur 1/DS =
malen Drainstrome sind ausnutzbar, solange -50V.
die Chiptemperatur 5j den zulassigen Wert
nicht iiberschreitet. Schwellspannung
• Ein Parallelschalten ist einfach moglich, da In den Datenblattern wird die Schwellspan-
der MOSFET einen Widerstand (''DS(ON)) nung (7GS(th) fiir einen Drainstrom von 1^^ =
darstellt. 250 \ik defmiert. Sie liegt zwischen 2 V < L/csith)
MOSFET-Leistungstransistoren besitzen eine < 4 V. Um die MOSFET direkt von digitalen
vertikale Struktur (Bild 3-65). Der Strom flieBt integrierten Schaltungen ansteuern zu konnen,
groBtenteils vertikal, also senkrecht zur Ober- die mit einer Versorgungsspannung von 5 V ar-
flache des Chips, vom Drain-AnschluB in den beiten (z.B. die TTL- oder HCMOS-Schah-
horizontal liegenden und vom Gate gesteuerten kreise), wurden sogenannte Logik-Pegel-MOS-
Kanal zur Source. Der Kanal (hier mit n^ ge- FET entwickelt. Fiir diese hegt die Schwell-
208 3 Aktive Bauelemente

spannung t/oscth) ^ 2,5 V. Um einen MOSFET


als Schalter zu betreiben, mu6 die Gate-Source-
Spannung UQ^ deutlich liber der Schwellspan-
nung liegen. In der Praxis sind Werte im Bereich
8 V < t/os ^ 12 V ausreichend.

Schaltzeit
Transistor: I/QS" 200 V, /"DSIONI^ 0,4fi
Obwohl ein MOSFET nur durch Spannung ge-
_L
steuert wird, muB bei jedem Schaltvorgang die 20 30 40 50 60
Eingangskapazitdt C^^^ umgeladen werden. Die Gateladung QQ / nC
Eingangskapazitat C^^^ hangt von der GroBe Bild 3-66. Gateladung Q^ in Abhangigkeit von der
des Chips, hauptsachlich aber von der Drain- Gate-Source-Spannung UQ^.
Source-Spannung I7DS ^b. Die Kapazitat C^^^
wird bei UQ^ = 25\ sowie einer Gate-Span- Typische Tabellenwerte der Gate-Ladung gg
nung UQS = 0 V gemessen. Fiir MOSFET-Lei- fur die Leistungs-MOSFET schwanken in dem
stungstransistoren erreicht die Eingangskapa- Bereich 8 nC < gg < 200 nC. Zum schnellen
zitat Ci,, Werte bis zu Q^, <5000pF Die Umladen des Gates muB die Treiberschaltung
Schaltzeit t^ wird maBgebhch durch die Zeit in der Lage sein, vergleichsweise hohe Spitzen-
bestimmt, die man zum Umladen des Gates be- strome (/G < I +1 A|) abzugeben und aufzuneh-
notigt. Diese ist bei gegebenem Gatestrom di- men.
rekt proportional zur Gate-Ladung Qg und be- Um bei hohen Anstiegsgeschwindigkeiten (dw/
tragt ts = Qg/^G' I^i^ Gate-Ladung gg wird von dt) der Drain-Source-Spannung ein unbeab-
den Herstellern zusatzlich zur Eingangskapazi- sichtigtes Einschalten des Transistors iiber die
tat Ciss angegeben. Miller-Kapazitdt C^^ (Abschn. 3.2.1.5) zu ver-
Die Ein- und die Ausschaltzeiten eines MOS- hindern, muB der Treiber selbst dann nieder-
FET vergroBert man in der Praxis durch das ohmig sein, wenn der Transistor gesperrt sein
Einfugen eines Gate-Widerstandes RQ in die soil. Aus diesem Grund ist in der Regel ein Wi-
Gateleitung, wobei Werte im Bereich 10 Q < i^o derstand zwischen dem Gate und der Source
< 50 Q iibhch sind. (RGS) erforderlich.
Treiber Gate-Source-Uberspannungen
Zur praktischen Dimensionierung des Treibers Die maximale Gate-Source-Spannung I/osmax
ist nicht die Eingangskapazitat Cjgs, sondern diedarf man auf keinen Fall uberschreiten. Sie be-
GroBe der gesamten Gateladung Qg maBgeb- tragt meistens UQ^^^^— ±20Y, beim Logik-
hch. Die Gateladung gg ist die Ladungsmenge, Pegel-MOSFET ist sie auf l/csmax = ± 10 V be-
die unter betriebsnahen Bedingungen zum Ein- grenzt. Die MOSFET sind gegeniiber elektro-
und Ausschalten des MOSFET erforderlich ist. statischen Entladungen (engl.: Electrostatic
Der zum Umladen des Gates erforderhche Discharge = BSD) empfmdlich (Abschn. 3.6.7).
Strom erzeugt im Gate-Serienwiderstand und Gefahrdet ist die sehr diinne Siliciumdioxid-
in dem Treiber die Verlustleistung Py = Q^- Schicht (Si02), mit der die Gate-Metallisierung
GSmax /s- isoliert ist. Obwohl vor allem bei groBeren
In Bild 3-66 ist die gesamte Gateladung Q^ in Chips die vergleichsweise hohe Eingangskapa-
Abhangigkeit von der Gatespannung UQ^ mit zitat Cjss die mogliche Gefahrdung verringert,
der Drain-Source-Spannung [/^s ^^s Parameter sollte man die von den Herstellern empfohlenen
bei konstantem Drainstrom /p fur zwei Transi- SchutzmaBnahmen auch beim Umgang mit
stortypen verschiedener Hersteller angegeben. MOS-Bauelementen beachten. Auch in einer
Obwohl beide Transistoren die gleichen Grund- praktischen Schaltung sollte die Gate-Source-
daten haben (die maximale Drain-Source- Spannung VQ^ einen deutlichen Abstand von
Spannung betragt U^^ = 200 V und der Drain- l/csmax haben, da sonst die Zuverlassigkeit des
Source-Einschaltwiderstand betragt r^ys^oN) — MOSFET beeintrachtigt wird. Beispielsweise
0,4 Q), sind die groBen Differenzen bei der Aus- verringert sich die Fehlerrate um etwa 10^,
legung der Treiberschaltung zu beriicksichtigen. wenn man bei einer Chiptemperatur von ^j =
3.4 Schaltungstechnik mit Feldeffekttransistoren 209

150°C die Gate-Source-Spannung von 20 V auf lassen sich diese parallel schalten. Allerdings
lOVherabsetzt. mussen die Gates voneinander entkoppelt wer-
den, um ein Schwingen zu vermeiden, welches
Maximaler Drain-Strom die Transistoren zerstort. Dies kann durch
MOSFET-Leistungstransistoren konnen sehr einen Serienwiderstand (Rs>4,lQ) in jeder
hohe Strome schalten. Der in den Datenblat- Gate-Leitung, aber auch durch separate Treiber
tern als gepulster Drain-Strom /^M angegebene geschehen. Erleichtert wird das Parallelschalten
Maximalstrom darf ausgenutzt werden. In der der Transistoren durch ihren positiven Tem-
Praxis wird der maximale Drain-Strom durch peraturkoeffizienten a des Kanals. Bei der ther-
die Erwarmung des Kristalls, die maximal zu- mischen Kopplung kann man dadurch eine
lassige Gate-Source-Spannung sowie die in- gleichmaBige Stromaufteilung unter den Tran-
terne Kontaktierung des Transistors (Bond- sistoren erreichen.
draht und die Metallisierung des Source-An-
schlusses) begrenzt. Einschaltwiderstand r^g ^QJ^)
Der Einschaltwiderstand rDS(ON) ist einer der
Faustregel: Der Strom in einem Leistungs- wichtigsten Parameter eines als Schalter betrie-
MOSFET kann so hoch sein, wie es sein benen MOSFET Der Temperaturkoeffizient a
Kiihlsystem zulaBt. ist positiv und schwankt im Bereich 0,7%
K~^ < a < 1,8% K~^ Den positiven Tempera-
In den Datenblattern wird der maximale Drain- turkoeffizienten darf man vor allem bei hohen
Strom angegeben, der fiir eine Gehausetempe- Umgebungstemperaturen nicht vernachlassi-
ratur ^^ = 25 °C zutreffend ist. Fur nicht gepul- gen, da hierdurch die Verlustleistung ansteigt.
sten Drain-Strom sind Werte von 90 °C < ^c ^ Im Extremfall fiihrt dies zum thermischen Weg-
100°C praxisgerecht. Der ausnutzbare Drain- laufen des Transistors und damit zu seiner Zer-
Strom /j) ist storung.

Avalanche-Durchbruchspannung
Moderne MOSFET halten einen Betrieb im
Avalanche-Durchbruch aus, der sich periodisch
wiederholen darf. Sie konnen ohne Beeintrach-
Hierbei sind: Sj^^^ die maximal zulassige Chip- tigung die beispielsweise von Streuinduktivita-
temperatur, S^ die Gehausetemperatur, rDS(ON) ten L^ verursachten Spannungsspitzen kappen.
der Einschaltwiderstand und -Rth(jc) der ther- Die vom Transistor durch die Streuinduktivitat
mische Widerstand des MOSFET zwischen zusatzUch aufgenommene Verlustleistung (P =
dem Chip (im Angelsachsischen als junction be- ^a'^'fs) ist bei der Berechnung der Ge-
zeichnet) und dem Gehause (engl.: case). samtverluste zu berucksichtigen. Durch die
Die maximale zulassige Chiptemperatur be- vom Hersteller garantierte Avalanche-Festig-
tragt ^j < 150°C. Fiir neuere MOSFET-Typen keit der MOSFET kann man auf eine Bedamp-
mit einer Durchbruchspannung U^^^ ^^^^^ <fung (RC- und RCD-Glieder, {Widerstand R,
100 V sind Chiptemperaturen von ,9j<175°C Kondensator C und Diode D}) verzichten,
zulassig. Fiir pulsformige Belastungen muB wenn diese nicht zum Erreichen der vorge-
man die vom Hersteller angegebene thermische schriebenen Grenzwerte zur Funkentstorung
Impedanz des betreffenden Transistors zur Er- notwendig sind (Abschn. 17.6.3).
mittlung der Chiptemperatur heranziehen. Die
zum Schalten von hohen Drain-Stromen erfor- Sperrerholzeit der Substratdiode
derlichen Gate-Source-Spannungen sollten aus Wird ein MOSFET mit inverser Spannung be-
Grunden der Zuverlassigkeit aber immer deut-
trieben, dann wird die Substratdiode (der pn-
hch unter 11^^ < 20 V Hegen.
Ubergang zwischen Source und Drain) leitend.
In Bruckenschaltungen ist dies haufig der Fall.
Parallelschalten Die Substratdiode ist zwar nutzbar, aber nicht
Da die MOSFET im „gesattigten Betrieb" ei- sehr schnell. Sie laBt sich namlich nur recht
nen Widerstand (rj^siON) — konstant) darstellen, langsam wieder ausschalten, weil die Sperrver-
210 3 Aktive Bauelemente

zogerungsladung Q^r g^^^B und deshalb die toren, Induktionsheizungen, Hochfrequenz-


Sperrerholzeit t^r hoch ist. SchweiBgerate, Klasse - D - Niederfrequenzver-
Jedem MOSFET ist ein parasitarer bipolarer starker und amplitudenmodulierte Sender.
Transistor parallel geschaltet (Bild 3-67). Vor Die in den Beispielen genannten Gerate arbei-
allem wahrend der Sperrerholzeit der Substrat- ten nach dem gleichen Prinzip: Die Hiillkurve
diode kann man diesen Transistor bei groBen der Ausgangsspannung wird durch Anderung der
Spannungs-Anstiegsgeschwindigkeiten du/dt Pulsbreite einer Spannung mit konstanter Am-
durch seine Miller-Kapazitat C^^ einschalten, plitude gesteuert. Nachgeschaltete Filter dienen
wenn der interne Basis-Emitter-Widerstand r^e zur Mittelwertbildung und sieben den hochfre-
nicht niederohmig genug ist, um einen Basis- quenten Anteil aus. Um eine bipolare Wechsel-
strom zu verhindern. In Briickenschaltungen spannung zu erhalten, sind Gegentaktschaltun-
kann das Einschalten des parasitaren Transistors gen erforderlich, die meistens als Briicke aus-
zur Zerstorung des MOSFET fiihren, weil der gefiillt sind. Jede Halbwelle wird mit jeweils
gewollt eingeschaltete Transistor (der MOS- einem der beiden Briickenzweige erzeugt, das
FET selbst) mit dem ungewoUt eingeschalteten heiBt, dafi jeder der beiden Zweige fur die Zeit-
Transistor (parasitarer npn-Transistor) in Serie dauer einer Halbperiode aktiv ist.
liegt und die Versorgungsspannung kurzschlieBt. Wegen der vergleichsweise groBen Gateladung
Qg der Leistungs-MOSFET mit vertikaler
Struktur und der damit verbundenen Verluste
bei hohen Schaltfrequenzen einerseits und we-
gen des recht hohen Aufwandes andererseits,
ist die Anwendung von pulsbreitengesteuerter
Technik nicht immer vorteilhaft. Hier bieten
sich Schaltungen an, bei denen die MOSFET
im hnearen Bereich arbeiten (s. Ausgangskenn-
G ^G linienfeld des n-Kanal-MOSFET in Bild 3-52 b).
d;
'±1 3.4.10 MOSFET-Leistungstransistoren
fiir analoge Verstarker
Obwohl die meisten Leistungs-MOSFET
hauptsachlich fur Anwendungen als Schalter
Bild 3-67. Ersatzschaltbild eines MOSFET mit para- vorgesehen sind, eignen sie sich auch fur ana-
sitdrem Transistor. loge Verstarker. Bei kleinen Leistungen kann
der MOSFET im A-Betrieb arbeiten. Dabei
Bei der Auswahl der MOSFET fur Briicken- wird der Signalwechselstrom zum Ruhestrom
schaltungen (z. B. fur Stromversorgungen und des Verstarkers addiert, so daB der Strom im
Motorsteuerungen) ist darauf zu achten, dafi FET immer positiv ist (Bild 3-68 a). Dieser Ru-
die Substratdiode eine kleine Sperrerholzeit ^„ hestrom verursacht nicht nur eine hohe Verlust-
besitzt. AuBerdem soil eine hohe Spannungs- leistung, er ist haufig auch in der Nutzlast un-
Anstiegsgeschwindigkeit dujdt (engl.: diode- erwunscht (z. B. bei einem Lautsprecher). Beim
recovery, dvldt) wahrend der Dioden-Sperr- B-Betrieb wird die positive und die negative
erholzeit garantiert werden. Beispielsweise ist Halbwelle des Signalstromes uber verschiedene
fiir 230 V-Anwendungen eine Sperrerholzeit t^ Transistoren aus zwei Betriebsspannungen un-
< 300 ns und ein dujdt > 3 V/ns ausreichend. terschiedhcher Polaritat hervorgerufen (Bild
3-68 b). Dabei erzeugt ein Transistor einen
Anwendungsbeispiele Strom in der Nutzlast, wahrend der andere
fur die MOSFET als Schalter Transistor in dieser Halbwelle stromlos ist. Die-
Von den zahlreichen AnwendungsmogHchkei- ser Betrieb wird auch als Gegentaktbetrieb be-
ten seien nur einige genannt: zeichnet.
Getaktete Stromversorgungen, Frequenzum- Eine Verstarkerendstufe fiir B-Betrieb laBt sich
richter fur Motorsteuerungen, Wechselrichter gut aus zwei komplementdren MOSFET auf-
fiir Notstromversorgungen, Ultraschallgenera- bauen. Ein Paar komplementarer MOSFET
3.4 Schaltungstechnik mit Feldeffekttransistoren 211

sator anschUeBen zu konnen, benotigt eine Schaltung


nach Bild 3-68 zwei gleich groBe Versorgungsspan-
nungen, eine positive +U^ und eine negative — L^s-
Die Last R^^ selbst liegt im Briickenzweig zwischen
der Leistungsendstufe und den beiden Versorgungs-
spannungen +11^ und —U^. Das gemeinsame Be-
zugspotential (Massepotential) fur beide Spannungen
hegt an der Last.
Der Verstarker arbeitet im sogenannten AB-Betrieb.
Solange keine Ansteuerung durch ein Nutzsignal U^
vorhanden ist, flieBt durch beide Transistoren (T^ und
T2) ledigHch ein kleiner Ruhestrom /R. Bei vorhande-
nem Nutzsignal wird jeder der beiden Transistoren
von jeweils nur einer Halbwelle durchgesteuert. Wah-
rend einer positiven Halbwelle wird der mit seinem
Drain an der positiven Versorgungsspannung (+ U^)
liegende n-Kanal-MOSFET T^ aktiv; der darunter
liegende p-Kanal-MOSFET T2 dagegen wird fast
vollstandig gesperrt. Eine negative Halbwelle bewirkt
eine Spiegelung des Vorgangs im p-Kanal-MOSFET,
der die Last R^ mit Hilfe der negativen Spannung
-Us treibt.
In Bild 3-69 bilden die Widerstande R^ und R2 be-
ziehungsweise R3 und R4 Spannungsteiler, welche die
Bild 3-68. Betriebsarten analoger Verstdrker. Gate-Source-Spannungen UQ^^ und — UQS2 i ^ Ruhe-
zustand bestimmen. Mit den Trimmwiderstanden R2
und R3 werden die Gate-Source-Spannungen C/^si
besteht aus einem n-Kanal- und einem p-Ka- und — (7GS2 SO eingestellt, daB durch beide Transisto-
nal-MOSFET, deren ubrige Daten moglichst ren ein kleiner, aber gleich groBer Drainstrom flieBt
ubereinstimmen, damit die positive und die ne- (z. B. /R < 100 mA fur einen 60-W-Verstarker). Durch
gative Halbwelle gleich behandelt werden. Die den Ruhestrom vermeidet man den Betrieb der Tran-
Stromubernahme im Nulldurchgang des Aus- sistoren im stark nichtUnearen Bereich der Ubertra-
gangsstroms fuhrt leicht zu Verzerrungen und gungskennlinie (Bild 3-52 a) (z.B. mit Drain-Source-
Storungen, weshalb ein geringer Ruhestrom Spannungen, die im Bereich der Schwellspannungen
von der positiven Versorgungsspannung liber hegen). Obwohl die Gleichspannungs-Potentiale der
beide Transistoren in die negative Versorgungs- beiden Gates gegeneinander verschoben sind, werden
sie iiber die beiden Koppelkondensatoren C^ und C2
spannung flieBt. Bei kleiner Aussteuerung ar- wechselspannungsmaBig parallel geschaltet.
beiten beide Transistoren im A-Betrieb, wah- Die hochohmigen Widerstande R5 und R5 verhin-
rend bei groBerer Aussteuerung jeweils nur dern eine storende Bedampfung der uber die Kon-
einer der beiden Transistoren aktiv und der an- densatoren C^ und C2 angekoppelten Nutzsignals
dere stromlos ist (B-Betrieb). Deshalb spricht durch die beiden Gate-Spannungsteiler. Die Bedamp-
man von einem AB-Betrieb.

In Schaltungen, wie in Bild 3-68 gezeigt, verwendet


man komplementare MOSFET in Drain-Schaltung.
T ^
Diese wird auch als Spannungsfolger oder Source-
Follower bezeichnet. Die Spannungsverstarkung der
0 +y.

Drain-Schaltung ist kleiner als eins, so daB eine


Schwingneigung aufgrund unerwiinschter Mitkopp-
lungen leicht zu unterdriicken ist. Zusatzlich vermei- 71
det man eine unerwiinschte gegenseitige kapazitive el K
R, I """z
Kopplung iiber einen auch als Montageflache die-
nenden Kuhlkorper, da die Drainanschliisse jeweils
auf dem Versorgungs-Spannungspotential (+ U^ bzw. H 0
— [/§) ruhen.
Um die Last R^ (z. B. einen Lautsprecher) direkt, d. h. Bild 3-69. Schaltung einer MOSFET-Gegentakt-
ohne zusatzlichen in Serie liegenden Koppelkonden- Endstufe.
212 3 Aktive Bauelemente

fung durch den Gate-Spannungsteiler kann man durch wahlt und danach durch eine Gegenkopplung
die Verwendung einer Bootstrapschaltung (Bild 3-33) deutlich vermindert. Damit werden auch die
vermindern. Bei der Schaltung nach Bild 3-69 wiirde Verzerrungen dem Grad der Gegenkopplung
eine Uberbriickung der Abgleich-Widerstande R2
entsprechend kleiner. Gute Verstarker weichen
und R3 mit je einem Kondensator ausreichen, da
diese bereits auf die Ausgangsspannung C/^ aufge- wenig von der schwarzen Ideallinie ab. Weiter-
stockt sind. Eine weitere Moglichkeit, eine Bedamp- hin soUen die Verstarkung im wichtigen Fre-
fung des Nutzsignals zu vermeiden, besteht im Ersatz quenzbereich und die Laufzeit durch den Ver-
des Widerstands R^ durch eine Konstantstromquelle starker konstant sein. Hierdurch kann man
bzw. von R4 durch eine Konstantstromsenke. nicht sinusformige Signale, die aus mehreren
In Bild 3-69 ist ein Vorverstarker schematisch darge- Frequenzen bestehen, unverformt iibertragen
stellt. Dieser soil nicht nur die Eingangsspannung U^ (z.B. im Audio-Verstarker das Frequenzge-
verstarken, sondern auch eine Gegenkopplung mit misch von Sprache oder Musik).
der Ausgangsspannung ermoghchen. Mit Hilfe der Ein Beispiel soil die moglichen Auswirkungen
Gegenkopplung kann man Verzerrungen ausgleichen,
welche durch die nichtlineare UbertragungskennUne
einer nichtUnearen Ubertragungskennhnie ver-
des MOSFET entstehen (Abschn. 3.6.1). deutlichen. Die Kennlinie U^=f{UJ laBt sich
durch eine ganze rationale Funktion hoherer
Ordnung annahern:
3.5 Lineare und nichtlineare
Verstarker C/, = a • t/, + b • L/,^ -h c • C/,3 -h .... (3-50)

3.5.1 Wichtige Eigenschaften Beim idealen Verstarker sind alle Koeffizienten


linearer Verstarker auBer a gleich null.
Ein idealer Verstarker verstarkt das Eingangs-
signal um einen konstanten Faktor, unabhan- 3.5.2 Herleitung der Oberschwingungen
gig von seiner GroBe (gemaB der schwarzen und der Mischprodukte
Ubertragungskennlinie in Bild 3-70).
Wird eine Wechselspannung, die nur eine Fre-
Der reale Verstarker weicht je nach Giite mehr
quenz enthalt, in einem Verstarker mit ge-
oder weniger von dieser Kennlinie ab, wie die
rote Kennlinie in Bild 3-70 zeigt. Eine nicht- kriimmter Kennlinie verstarkt, dann entstehen
lineare Ubertragungskennlinie verformt die Si- Vielfache der Grundfrequenz, sogenannte har-
gnale und erzeugt (meist unerwiinschte) Ober- monische Frequenzen (Bild 3-71).
schwingungen der Nutzfrequenzen. Die Giite Die Summe aller im Verstarker erzeugten Har-
des realen Verstarkers wird besser, wenn man monischen wird auf die Spannung der Grund-
seine Grundverstarkung hoher als erforderlich a)
> ^"1 i

15^
05
c /2
ideal y ^ C f,
c c
c 03
c a.
CO
Oi
c
CO
O)
c c
O) ^ LU
v> ^
Arbeitsfrequenz f
b) ™i
:i 1
1 1 1 / 1 1 1 »
-0,3 -0,2 -0,1 0,1 0,2 0,3 3
/2
C
Eingangsspannung U^IM C f.1
CO

- -5 a
M^
c - r- f^
03 sT*
D
^1 ^ 1
-10
< 1
1 1 1 1 ^
Arbeitsfrequenz f

-15 Bild 3- 71. Frequenzspektrum der Ein- und A usgangs-


Bild3-70. Ubertragungskennlinien eines Verstarkers. signale eines Verstarkers.
3.5 Lineare und nichtlineare Verstarker 213

frequenz bezogen und als Klirrfaktor K be- Verzerrung. Bei kleinen Verzerrungen (unter
zeichnet. Der Name beschreibt die charakteri- 1%) laBt sich die Abweichung optisch nicht
stische Klangveranderung durch Audio-Ver- mehr ablesen. Fiir kleinere Verzerrungen oder
starker mit gekrummter Ubertragungskenn- schmalbandige Hochfrequenzverstarker eignet
linie. sich die Schaltung nach Bild 3-73.

Verstarker selektives
Voltmeter
-•100%. (3-51) Signal-
Oder
Generator
[> _ Last-
Spektrum-
Analysator

Werden gleichzeitig zwei oder mehr unter- widerstand


schiedliche Frequenzen verstarkt, dann werden Bild3-73. Mefischaltung fiir kleine Verzerrungen.
diese Frequenzen untereinander gemischt, d.h.
es treten alle Summen und Differenzen der Aus- Der Verstarker wird mit einem sinusformigen
gangsfrequenzen auf. Dieser Vorgang heiBt In- Signal angesteuert und das Ausgangssignal mit
termodulation. Neben der Ausgangsleistung und einem selektiven Voltmeter angezeigt (das ist ein
dem Frequenzbereich sind der Klirrfaktor und Voltmeter, das nur Wechselspannungen einer
die Intermodulation wichtige Beurteilungskri- bestimmten, vorher eingestellten Frequenz
terien fur Audio-Verstarker. Sie sind auch auf miBt). Die Ergebnisse kann man in ein Dia-
andere Verstarker anzuwenden. gramm wie Bild 3-71 b eintragen. Noch schnel-
ler und bequemer miBt ein Spektrum-Analysa-
tor (Bild 1-56 in Abschn. 1.7), der haufig in der
3.5.3 MeBverfahren HochfrequenzmeBtechnik eingesetzt wird, aber
zur Beurteilung von Verstarkern wegen seines sehr hohen Preises fiir gewohn-
Breitbandige Verstarker werden haufig mit ei- hche Anwendungen selten zur Verfiigung steht.
Der Spektrum-Analysator schreibt direkt ein in
nem Dreieck- oder Rechtecksignal in einer
dB geeichtes Diagramm wie Bild 3-71 b auf dem
Schaltung nach Bild 3-72 a gepriift.
Bildschirm. Die BezugsgroBe ist meistens
Der Spannungspegel und die Frequenz (ein-
l m W = OdB^.
schlieBlich der erwiinschten Oberschwingun-
gen) miissen vom Verstarker noch gut verarbei-
tet werden konnen. Das Bin- und das Aus- 3.5.4 Nichtlineare Verstarker
gangssignal untersucht man auf dem Oszillo- Es gibt Verstarker, die absichtUch nichtlinear
skop auf Verzerrungen, indem man beide sind, um eine bestimmte Signalverarbeitung zu
Signale miteinander vergleicht (Bild 3-72 b). erreichen. Dazu gehoren FET-Verstarker, deren
Dieses Verfahren kann stufenweise erfolgen und quadratische Ubertragungskennlinie sich gut
es erlaubt Riickschlusse auf die Ursache der zum Mischen zweier Frequenzen eignet, well
sich an ihr keine Mischprodukte 3. Ordnung
a) bilden (Bild 3-74). Da die Signale auf der Kenn-
A A
V V -astwiderstand
Frequenz- > 1 1 1
Hnie addiert werden, heiBt das Verfahren addi-
n M
Generator Verstarker tive Mischung.
^
S
Oszilloskop
Dieser Mischer erzeugt die Summe und die
Differenz beider Frequenzen, weshalb uner-
wiinschte Anteile im allgemeinen mit einem
nachgeschalteten Filter unterdriickt werden.

3.5.5 Aufbau linearer Verstarker


in der Praxis
D a.
Haufig arbeiten richtig dimensionierte Verstar-
ker oder andere erfolgreich erprobte Linear-
LU < schaltungen nicht einwandfrei, weil beispiels-
weise ein neuer ungeschickter Aufbau oder eine
Bild 3-72. Mefischaltungen fur lineare Verstarker. nicht ausreichend durchdachte Leitungsfiih-
214 3 Aktive Bauelemente

positiven oder negativen Aussteuergrenze ste-


hen und es kann kein Nutzsignal mehr ver-
starkt werden (z.B. beim Schmitt-Trigger (Ab-
schn. 8, Operationsverstarker). In den meisten
Fallen kommt die Ruckkopplung iiber parasi-
tare Kapazitaten zustande oder sie wirkt induk-
tiv. Wenn der Verstarker die Aussteuergrenze
erreicht hat, wird die riickgekoppelte Spannung
kleiner und kehrt bald ihr Vorzeichen um. Ent-
sprechend andert sich auch die Ausgangsspan-
nung von der bisher oberen Aussteuergrenze
zur unteren und wieder zuriick. Der Verstarker
schwingt mit der Frequenz, fiir die das Produkt
die Ausgangs-
spannung
von Koppelfaktor k und Verstarkung v, d.h.
enthalt die (/c • v) am groBten ist. Diese Eigenfrequenz Uegt
Frequenzen:
oft viel hoher als der Arbeitsfrequenzbereich des
Verstarkers. Bei einem Verstarker ist das
Schwingen durch eine geeignete Schaltung und
den richtigen Aufbau sicher zu vermeiden.
Bild3-74. Mischen zweier Frequenzen an einer qua-
dratischen Kennlinie.
Einstufige Niederfrequenzverstarker sind we-
gen ihrer geringen Verstarkung unkritisch. Ein-
stufige Hochfrequenzverstarker haben meistens
rung innerhalb der Schaltung unerwiinschte
eine geringere Verstarkung, aber die hohe
Wechselwirkungen verursachen.
Arbeitsfrequenz verstarkt die Riickkopplung.
Ein Verstarker soil nur das von auBen zuge-
Notwendige GegenmaBnahmen sind bei den
fiihrte Signal verstarken und am Ausgang wie-
mehrstufigen Verstarkern beschrieben.
der abgeben. Trotzdem gelangt immer ein klei-
Mehrstufige Verstarker haben eine groBe Ver-
ner Teil des Ausgangssignals auf unerwiinschte
starkung V. Es geniigt ein sehr kleiner Koppel-
Weise an den Eingang zuriick, so daB eine
faktor /c, um die Schwingbedingung zu erfiillen.
Ruckkopplung entsteht, wie Bild 3-75 zeigt.
Deshalb muB die Schaltung entsprechend sorg-
faltig entkoppelt sein.
Verstarker
Der Verstarker in Bild 3-76 besteht aus drei
hintereinander geschalteten Stufen. Die Versor-
gungsspannung jeder einzelnen Stufe muB mit
einem eigenen Abblockkondensator C^ bis C3
entkoppelt werden. Diese Kondensatoren ver-
Ar< 1
Ruckl<opplung
ringern Storungen, die von der Versorgungs-
spannung U^ in die einzelnen Verstarkerstufen
Bild 3-75. Verstarker mit Ruckkopplung. gelangen konnen. Sie verhindern weiterhin, daB
von der Endstufe verursachte Anderungen der
Das Signal wird zuerst um den Faktor v ver- Versorgungsspannung auf die Vorstufen zu-
starkt und danach um den Koppelfaktor k abge- riickwirken. Die Widerstande R^ bis R3 verbes-
schwacht. Wenn das Produkt k- v> -\-l ist, sern die Entkopplung, da iiber sie nur noch
dann entsteht am Eingang ein groBeres Signal,
das nochmals verstarkt wird und den Kreis
aus Verstarker und Riickkoppelstrecke solange In ^
durchlauft, bis das Ausgangssignal an die Aus-
steuergrenze stoBt. Eine Ruckkopplung, welche
die Verstarkung erhoht, bezeichnet man als Mit-
kopplung. Sind der Verstarker und die Ruck- Masseleitung
kopplung rein gleichspannungsgekoppelt, dann Bild3-76. Signal- und StromfUhrung in einem mehr-
bleibt das Signal am Verstarkerausgang an der stufigen Verstarker.
3.5 Lineare und nichtlineare Verstarker 215

Gleichstrom flieBt. Der notwendige Wechsel-


stromanteil wird vom jeweiligen Abblockkon-
densator aufgebracht, der um so besser wirkt, je
n«.
kiirzer und je niederohmiger seine Zuleitungen Jo
zum Verstarker sind. Wenn hochfrequente Span-
nungen kurzzuschlieBen sind, dann ist auch auf
induktionsarme Leitungen (kurz und groBer
Umfang der Leitung) zwischen Verstarker und
tB3iSt&}m
Abblockkondensator zu achten. Der Konden-
Bild3-77. Signal- und StromfUhrung in einem Hoch-
sator bildet mit der Induktivitat seiner Zulei- frequenzverstarker.
tungen einen Reihenschwingkreis, der oberhalb
seiner Resonanzfrequenz induktiv ist und da- metrischen Abmessungen der Kreise klein sind,
durch wenig Siebwirkung zeigt. Der Strompfad wird die unerwunschte Kopplung gering.
aus der Verstarkerstufe V^ und dem Abblock- In modernen Hochfrequenzverstarkern koppelt
kondensator Ci (in Bild 3-76 rot eingezeichnet) man haufig integrierte HF-Verstarkerstufen
soil eine moglichst kleine Flache F^ umschlie- liber Kondensatoren. Die Stromzufuhrung und
Ben. Dadurch sinkt nicht nur die Induktivitat der Signalweg haben dadurch einen gemein-
des ganzen Kreises, sondern auch die Fahigkeit, samen Strompfad. Bei diesen Verstarkern er-
Storungen abzustrahlen und zu empfangen folgt die Signal- und Stromfuhrung meistens auf
{U = F ' d(p/dt). Wenn diese Siebkreise keine einer Seite der Leiterplatte, wahrend die Ruck-
gemeinsamen Strompfade haben, sondern, wie seite eine fast geschlossene Masseflache ist. Da-
Bild 3-77 zeigt, nur sternformig iiber die Masse durch werden die gemeinsamen Strompfade so
verbunden sind, ist die unerwiinschte Verkopp- niederohmig und induktionsarm, daB der entste-
lung zwischen den Stufen minimal. hende Spannungsabfall nicht mehr stort. Diese
Die Signalpfade muB man auf die gleiche Weise Flache ist nicht nur eine hervorragende Masse,
entkoppeln. Auch in diesem Fall sind gemein- sie verringert auch elektrische Felder durch die
same Strompfade in den Maschen der Signal- Abschirmung und magnetische Felder durch
pfade F4 und F5 zu vermeiden und, falls das Wirbelstrombildung, so daB man Storstrahlun-
nicht moghch ist, wenigstens sehr niederohmig gen hinreichend klein halten kann.
und induktionsarm zu halten. Ebenso sind die
Flachen der Signalmaschen F4 und F5 klein zu 3.5.6 Schaltungstechnische Besonderheiten
halten, da sie wie Koppelspulen wirken. Beson- gegengekoppelter Verstarker
ders gefahrlich sind gemeinsame Strompfade
der Signal- und der Stromversorgungskreise, da Ein Verstarker kann schwingen, wenn seine Ge-
der Spannungsabfall direkt zur Eingangsspan- genkopplung nicht richtig dimensioniert ist.
nung addiert wird. Die Stromversorgung sollte Die Gegenkopplung soil das Ausgangssignal
man stets bei der ausgangsseitigen Verstarker- gegenphasig an den Eingang zuruckfuhren, wo
stufe anschlieBen. es die Eingangsspannung U^ zum groBten Teil
kompensiert und damit das Eingangssignal des
Diese Uberlegungen gelten im Prinzip genauso Verstarkers verkleinert. Das funktioniert bei
fiir Hochfrequenzverstarker. Je hoher die Ar- Gleichstrom und niedrigen Frequenzen gut.
beitsfrequenz ist, desto sorgfaltiger sind die Mit zunehmender Frequenz verursacht die
Gleichstrom- und Signalpfade zu entkoppeln konstante Laufzeit im Verstarker einen steigen-
(Bild 3-77). den Phasenwinkel zwischen Ein- und Aus-
Hierbei koppelt man das Signal nicht galva- gangssignal. Die gegenphasige Riickkopplung
nisch, sondern induktiv an die nachste Stufe. entspricht einer Phasendrehung um 180°, be-
Uber die gemeinsame MasseflieBtnur noch der zogen auf das Eingangssignal U^f^ (Bild 3-78 d).
Versorgungsgleichstrom. Die einzelnen Stufen Bild 3-78 zeigt den Ubergang von der Gegen-
sind gut entkoppelt. Der Strompfad fiir den kopplung zur Mitkopplung.
Versorgungsstrom und den Abblockkonden- Bild 3-78 a zeigt einen behebigen Verstarker mit
sator C2 (rot eingezeichnet) hat mit dem Ein- einer Gegenkopplung, Bild 3-78 b den Fre-
gangskreis F2 und dem Ausgangskreis F3 kei- quenzgang, d.h. die Verstarkung als Funktion
nen gemeinsamen Strompfad. Wenn die geo- der Frequenz, und Bild 3-78 c den Phasengang,
216 3 Aktive Bauelemente

a) Verstarker mit Gegenkopplung addiert. Die Gegenkopplung hat sich in eine


Mitkopplung verkehrt, und die Schaltung
schwingt. Abhilfe schafft ein zusatzlicher Tief-
paB, der die Verstarkung bei hohen Frequenzen
- > so weit absenkt, daB das Produkt v k<l ist,
Ue wenn die Phasendrehung 180° erreicht. Schal-
^a

u Usn
tungen zur Schwingungserzeugung (Oszillato-
ren) werden bewuBt mit einer Mitkopplung rea-
'' T V f 1
hsiert.

b) Frequenz gang 3.5.7 Vorsichtsmafinahmen


beim Umgang mit Halbleitern
:D'|::)"1000
II Die meisten Halbleiter enthalten feine Struktu-
« 100 - ren. Schon kleine Spannungen erzeugen an den
c
sehr dunnen isolierenden Schichten zwischen
1 10 den internen Elektroden groBe Feldstarken.
to \ \ log- Teilung

1 1 fy f2 '3 Frequenz f / H z
Wird die Feldstarke zu groB, dann wird die
Isolierschicht oder die Sperrschicht durchge-
c) Phasengang schlagen und dabei zerstort. Die Elektroden
sind klein, dementsprechend gering ist ihre
,I Kapazitat und damit die Ladung zum Aufbau
180° -
einer hohen Spannung. Diese Halbleiter kon-
9- y^
15 nen leicht durch elektrostatisch aufgeladene
II
•M% 90° -
Personen oder Werkzeuge zerstort werden. Da-
bei kann der Halbleiter sofort vollstandig zer-
N Q-
stort oder nur teilweise geschadigt sein. Letzte-
log. Teilung res kann man durch normales Priifen nicht
0 ;
M
5^
^2
7^
^3
^
Frequenz f / H z
feststellen, weshalb diese Schaden besonders
heimtuckisch sind. Allgemein gilt: je feiner und
d) Obergang zur Mitkopplung diinner die Strukturen des Halbleiters sind und
ijU
je besser er intern isoliert ist (MOS-Technolo-
gie), desto leichter wird er zerstort. Bipolare
i ^af2
Transistoren fur mittlere und groBe Leistungen
sind vergleichsweise wenig gefahrdet.
^^af1 X^^^' ^e^ Folgende VorsichtsmaBnahmen zum Schutz ge-
(y"
gen elektrostatische Aufladung (engl.: Electro-
Static Discharge, ESD) sollten beachtet wer-
Bild3-78. Ubergang von der Gegenkopplung zur den:
Mitkopplung.
- Die zulassigen Spannungen zwischen den
d.h. die Phasendrehung als Funktion der Fre- Halbleiteranschliissen diirfen niemals iiber-
quenz. Die Phasendrehung nimmt in der Nahe schritten werden, was nach Fertigstellung der
der Grenzfrequenz stark zu und erreicht bald Schaltung durch die Beschaltung der einzel-
90°. Diese 90° werden zu den 180'' der Gegen- nen Halbleiter sicherzustellen ist. Vor und
kopplung addiert, weshalb die Phasendrehung wahrend der Verarbeitung sind groBe Span-
jetzt 270° betragt. In Bild 3-78 d sind der Betrag nungen vom Halbleiter fernzuhalten.
und die Phase der Ausgangsspannung U^ bei - GroBe Spannungen entstehen meistens durch
drei verschiedenen Frequenzen verdeutlicht. Mit Reibung, beispielsweise beim Gehen, wenn
weiter steigender Frequenz kann die Phase im die Sohlen und der FuBboden gut isolieren
Verstarker oder im Gegenkopplungszweig wei- oder durch Reiben der Kleidung auf dem
ter zunehmen, so daB die ruckgekoppelte Span- Sitz. Wird der Abstand zwischen den ver-
nung die Eingangsspannung nicht mehr kom- schieden geladenen Schichten vergroBert,
pensiert, sondern einen gleichphasigen Anteil beispielsweise beim Aufstehen vom Sitz,
3.5 Lineare und nichtlineare Verstarker 217

bleibt zwar die Ladung erhalten, die Span- ermitteln. Deshalb ist ein vorbeugender Schutz
nung steigt aber auf viele kV. Je trockener die durch eine durchdachte Leitungsfuhrung, kon-
Luft ist, besonders im Winter, desto besser sequentes Abblocken und eventuell durch eine
laden sich die Schichten auf. Alle SchutzmaB- Abschirmung zweckmaBig.
nahmen sollen entweder die Ladungstren- Hinweise iiber den Schutz vor BSD und auf
nung verhindern oder auf Wegen auBerhalb zugehorige Priifverfahren sind in der lEC-
des Halbleiters einen Ladungsausgleich her- Norm 801-4 zu fmden.
beifiihren.
- Empfindliche Halbleiter werden in einer leit- Zur Ubung
fahigen Verpackung geliefert. Dazu benutzt U 3.2-1: Eine Emitterschaltung nach Bild 3-28 a ist zu
man Metalle, leitfahige oder leitfahig be- dimensionieren.
schichtete Kunststoffe oder Pappbehalter, Schaltungsdaten: Versorgungsspannung U^ = 20 V,
die durch ihren Feuchtigkeitsgehalt schwach Spannungsverstarkung v^ = 20, Laststrom vernach-
leitfahig sind. Halbleiter soil man auf Tischen lassigbar, R^^ < 1 MQ.
mit einer geerdeten leitfahigen Oberflache Transistordaten: j8 = 300, ^^-30|aS, R^^^llkQ.
verarbeiten. Hierfiir gibt es schwach leit- bei /c = 1 mA.
fahige Kunststoffbelage, die auf einem ge- Gesucht: Werte der Widerstande R^, R^, R^^, RQ2,
erdeten Gitter aufgeklebt sind. Notfalls ge- Eingangswiderstand R^ und Ausgangswiderstand R^.
nugt auch ein unbehandelter Holztisch. Wei-
U 3.2-2: Eine Emitterschaltung nach Bild 3-28 a ist zu
terhin sollten Sitze und FuBboden schwach
dimensionieren.
leitfahig und geerdet sein, damit die Ladung
Schaltungsdaten: Versorgungsspannung C^ = 15 V,
von Personen und Geraten abflieBen kann.
Spannungsverstarkung r^ = 10, Grenzfrequenz
Hierzu sind Schuhe mit leitfahigen Sohlen er-
(— 3 dB) /g = 500 kHz, der Ausgang wird mit einer
forderHch. Sicherer, aber mitunter lastig und
Last lOkQIIlOOpF belastet, vom Kollektor flieBt
deshalb inkonsequent angewandt, sind leit- kein Gleichstrom in die Last.
fahige Armbander, die hochohmig (1 MQ) ge- Transistordaten: P = 200, g^ = 50 ^iS, fj = 300 MHz.
erdet sind. Bei der Kleidung sollten gut iso-
Herende Stoffe, beispielsweise Kunstfasern, Gesucht: Werte der Widerstande R^, R^, R^^, i?B2-
Wolle, Seide und Gummi- oder Kunststoff- U 3.2-3: Eine Kollektorschaltung nach Bild 3-32 ist
sohlen vermieden werden. zu dimensionieren.
Wenn man diese VorsichtsmaBnahmen bei mo- Schaltungsdaten: R^=16Q, t/s = 15 V, 7^2 = 3 4 .
dernen Halbleitern, besonders MOS, nicht be- Transistordaten: P = 50, g^ = 200 \iS.
achtet, dann ist mit „ungeklarten" Bauteilaus- Gesucht: Mittlere Gleichspannung am Emitter, Ein-
fallen und einer drastisch verminderten Zuver- gangswiderstand R^, Spannungsverstarkung bei R^^
lassigkeit zu rechnen.
Bei ungenugend geschiitzten Geraten konnen U 3.2-4: Eine Stromquelle nach Bild 3-36 ist zu di-
elektrostatische Entladungen zu voriibergehen- mensionieren.
den Storungen fuhren. Dabei werden keine Schaltungsdaten: Versorgungsspannung U^ = i5Y,
Telle (Halbleiter), sondern Informationen, bei- Strom /c = 25 mA, Spannungsbereich am Kollektor:
spielsweise in Fhp-Flops oder groBeren Spei- 0 bis 10 V.
chern, geandert. Wird diese Information er- Transistordaten: j5 = 100, g^ = 50 |iS.
neuert, dann ist der Fehler verschwunden. Gesucht: Werte der Widerstande R^, R2, R^, dyna-
Diese Fehler bezeichnet man auch als Soft- mischer Innenwiderstand der Stromquelle Rf, groBte
errors. Ihre genauen Ursachen sind schwer zu Verlustleistung des Transistors P^^^.
218 4 Hochfrequenz (HF)-Verstarker

4 Hochfrequenz (HF)- Last muB man dann durch ein Anpafinetzwerk


aneinander anpassen (Bild 4-2).
Verstarker Haben Quelle und Last unterschiedliche, aber
rein ohmsche Impedanzen, dann kann das An-
Transistorverstarker finden heute bis zu sehr paBnetzwerk ein Transformator sein, der die
hohen Frequenzen Verwendung. Beim Entwurf Impedanz der Quelle an die Last anpaBt. Sind
der Schaltung sind auBer den Transistoreigen- die charakteristischen Widerstande komplex,
schaften bei hohen Frequenzen auch die HF- dann wird der Blindanteil durch einen zusatz-
Eigenschaften von Bauteilen und Leitungen zu lichen konjugiert komplexen Widerstand (be-
beriicksichtigen. Bei HF-Schahungen muB des- stehend aus einer Spule oder einem Konden-
halb mit alien komplexen Vierpolparametern sator) zu einem rein ohmschen Wert erganzt
des Transistors gerechnet werden. Vernachlas- (beispielsweise der Kondensator C in Bild 4-2).
sigungen fuhren schnell zu groben Fehlern. Diese Kompensation stimmt genau genommen
Ebenso ist die Anordnung aller Bauteile genau nur fur eine Frequenz exakt, sie reicht aber fur
zu durchdenken. In HF-Schaltungen soil die die meist kleine Bandbreite der HF-Verstarker
Energie nur in der erwiinschten Richtung flie- aus.
Ben; man erreicht dies durch eine geeignete An-
passung aller Elemente im Signalweg. a) Hochfrequenzquelle und -last mit StoSstelle
I

4.1 Anpassung und Reflexion


Die erzeugte Hochfrequenzleistung soil mog-
hchst verlustlos zum Verbraucher gelangen.
Dies geschieht durch eine Leistungsanpassung
zwischen Quelle und Last, d. h., wenn die Innen- b) Vorlaufende Welle (schwarz)
widerstande der Quelle RQ und der Last i^L keine reflektierte Welle (rot)
gleich sind. Rn~ Rt
Bild 4-1 a zeigt die Signalquelle und die Last,
Bild 4-1 b die Welle, die ungehindert von der
Quelle zum Verbraucher flieBt. Weichen die Wegs
charakteristischen Innenwiderstande oder Im-
pedanzen der Quelle und der Last voneinander
ab, dann wird ein Teil der Leistung an der StoB- keine Reflexion = Leistungsanpassung

stelle der beiden Impedanzen in die Quelle re- c) Vorlaufende Welle (schwarz)
flektiert (Bild 4-1 c). Befinden sich mehrere Glie- reflektierte Welle (rot)
der im Weg des Hochfrequenzsignals, dann
muB jede Last an ihre Quelle angepaBt sein, /?Q^/?L
wobei Leitungen in der Kette an ihrem Anfang
als Last zahlen, am Ende als Quelle.
Hochfrequenzbaugruppen, die zu Geraten oder Wegs

Systemen zusammengesetzt werden, stellt man I


moglichst immer mit der gleichen Impedanz Reflexion = Fehlanpassung
her. In der professionellen HF-Technik sind es
50 Q, da Kapazitaten und Induktivitaten bei Bild 4-1. Leistungsanpassung bzw. Fehlanpassung
diesem Wert gut zu handhabende Werte und die zwischen Quelle und Last.
Kabel gunstige Abmessungen haben. Das ist
beispielsweise bei Leitungen, Dampfungsglie- 0:1
dern, Leistungsteilern und anderen passiven
HF-Baugruppen moglich, wahrend die Impe-
danzen eines Verstarkers von den Transistor-
daten abhangen und meistens erheblich von
den erwunschten 50 Q abweichen. Quelle und
]U
Bild 4-2. Anpafinetzwerk zwischen Quelle und Last.
4.2 Transport der Hochfrequenz auf Leitungen 219

4.2 Transport der Hochfrequenz a) Hin- und riicklaufende Welle zur Zeit f=0

auf Leitungen
Die Hochfrequenz breitet sich im freien Raum
und in Leitungen als fortschreitende Welle aus.
Fiir die Wellenlange X gilt

/I- (4-1)
/' 1 1
b) Hin- und riicklaufende Welle zur Zeit t =
4'7
wobei c = 2,998 • 10^ m/s (Lichtgeschwindig-
keit) und / die Frequenz ist.
Bild 4-3 zeigt die Augenblickswerte der Span-
nung zwischen den beiden Adern einer HF-Lei-
'
/ \/ N TV .,
tung als Funktion des Ortes x und der Zeit t.
Gleichzeitig flieBt in beiden Leitungen ein der
\ / \ ^^u. / '
u^+u,
Spannung proportionaler Strom. Am Ende der
Leitung flieBt der Strom durch den Lastwider- 1 1
c) Hin- und riicklaufende Welle zur Zeit
stand KL • Wenn das Verhaltnis von Strom und
Spannung zusammen mit dem Wert des Last-
widerstandes i^L ^^^ Ohmschen Gesetz geniigt,
flieBt die ganze Energie in den AbschluBwider-
stand: die Leitung ist angepaBt.

d) Htillkurve der Spannung als Funktion der Streckex

$ f Abtasten mit einem MeBgleichrichter

Zeitpunkt

u r~r—f ;\
\—^—\ y-'f—7 X
\—^—y 7—7—7 *•
Bild 4-4. Entstehung einer stehenden Welle auf einer
am Ende offenen Leitung.

Die Amplituden der vor- und der zuriicklaufen-


Bild 4-3. Fortschreitende Welle auf einer Leitung. den Welle addieren sich linear. Am Schwin-
gungsknoten bleibt die AmpHtude immer null,
1st die HF-Leitung nicht mit ihrem charakteri- wahrend sie sich am Schwingungsbauch vom
stischen Widerstand abgeschlossen, sondern mit Maximum iiber den Wert null zum Minimum
einem anderen ohmschen Wert, einem BUnd- und wieder zuriick andert.
widerstand oder im Extremfall kurzgeschlossen Ein MaB fur die Anpassung ist der Reflexions-
bzw. unbelastet, dann wird ein Teil oder die faktor r, oft auch mit F bezeichnet, der das
ganze HF-Leistung am Leitungsende reflek- Verhaltnis zwischen der hinlaufenden Span-
tiert. Die hin- und die riicklaufende Welle uber- nung L/h uiid ^^r zuriicklaufenden Spannung
lagert sich auf der Leitung zu einer stehenden U^ angibt:
Welle. Dabei flieBt die HF-Energie der Quelle
wieder in diese zuriick und stort deren Funk- r=UJU^. (4-2)
tion. Bild 4-4 zeigt die Entstehung stehender
Wellen. Der Reflexionsfaktor r laBt sich aus den Innen-
220 4 Hochfrequenz (HF)-Verstarker

widerstanden der Quelle RQ und der Last R^^ Losung:


nach Gl. (4-3) berechnen: Fiir den Reflexionsfaktor gilt nach Gl. (4-4)
r = 10^-^/20 _ j[Q-20/20 = 0 1 .
r= (4-3)
RJRQ+1 Fiir den Lastwiderstand ergibt sich nach Gl. (4-3):
1+r 1 + 0,1
In der Praxis wird haufig das Leistungsverhalt- Rj^=Ro = 50Q - = 61,11 Q.
nis zwischen hin- und riicklaufender Welle in
dB angegeben. Dieser Wert wird Ruckjlufiddmp-
fung (engl.: return loss R.L.) oder einfach Anpas-
sung genannt; es gilt 4.3 Wellenwiderstand einer
Hochfrequenzleitung
R.L. = 1 0 - l o g r^ dB. (4-4)
Hochfrequenz kann man uber drei verschiedene
Die Giite der Anpassung wird auch als Stehwel- Leitungstypen weiterleiten (Bild 4-6). Fiir die
lenverhdltnis (engl.: Voltage Standing Wave Ra- Verbindung zwischen Geraten, zur Antenne
tio, VSWR) bezeichnet; hierfiir gilt und iiber groBere Strecken verwendet man vor-
wiegend koaxiale Leitungen (Bild 4-6 a). Bei ge-
1+ r ringen Anforderungen an die Storfestigkeit
VSWR = (4-5) kann man Hochfrequenz auch iiber eine sym-
1-r* metrische Leitung (Bild 4-6 b) fuhren. Innerhalb
der Baugruppen wird die Hochfrequenz heute
Bild 4-5 zeigt den Zusammenhang zwischen meistens iiber Mikrostreifenleitungen gefiihrt,
dem Reflexionsfaktor r, der RuckfluBdampfung die direkt auf der Leiterplatte hergestellt wer-
R.L. und dem Stehwellenverhaltnis VSWR. den (Bild 4-6 c und Bild 1-83 in Abschn. 1.9.2).
1st eine GroBe, beispielsweise 21 dB RiickfluB- Bei alien Typen hangt der Wellenwiderstand Z
dampfung, bekannt, dann kann man den reflek- von den mechanischen Abmessungen quer zur
tierten Anteil direkt an der oberen Skala des Ausbreitungsrichtung, von der Permittivitats-
Nomogramms ablesen. Die Hohe des Schnitt- zahl E^ des IsoHermaterials zwischen den Lei-
punkts der senkrechten Linie mit der Kurve tungen, nicht aber von der Lange der Leitung
gibt das Stehwellenverhaltnis VSWR an. ab. SpeziaHsierte Kabelhersteller liefern Ko-
axialleitungen und garantieren alle wichtigen
Beispiel elektrischen Werte. Die Bedeutung symme-
4.2-1: Die Schaltung in Bild 4-2 hat eine RuckfluB- trischer Leitungen nimmt immer mehr ab. Mi-
dampfung von 20 dB. Welcher Lastwiderstand i?L ^^~ krostreifenleitungen innerhalb einer Schaltung
gibt sich bei dem Quellenwiderstand RQ = 50 Ql dimensioniert der Entwickler der Schaltung so,
daB er die gewiinschte Impedanz erreicht.
Anteil der reflektierten Leistung
Reflexionsfaktor/- • 100% Der Wellenwiderstand Z einer Mikrostreifenlei-
100 50 20 10 5 2 1 % 0,5 tung ist aus den Abmessungen und dem e^ der

^mm
5 3,0
1 ii 1 1 1 1 1 1 1 1 1 1 1 Leiterplatte
1 nach Gl. (4-6) und (4-7) naherungs-
^ 2,0 weise zu berechnen; ebenso kann man bei gege-
1,5 benem Wellenwiderstand Z und den bekannten
1,2 ^ NfpsJ Daten der Leiterplatte: Dicke H (engl.: Height)

1,1 r und Permittivitatszahl e^, die erforderhche


Breite W (engl.: Width) nach Gl. (4-8) und (4-9)
naherungsweise berechnen. Die Formeln gelten
> nicht exakt, sie hefern aber einen guten Nahe-
c
rungswert, wenn man zum Verhaltnis W/H die
^ 1,01 H \\\\yl passende Formel wahlt.
^ 0 10 20 30 40
RuckfluBdampfung (Return Loss R.L.)/dB
Die Berechnung des Wellenwiderstandes Z fiir
Bild 4-5. Reflexionsfaktor, RUckflufiddmpfung und die gegebene Geometric W/H und e^ fiir
0,05 < W/H < 1 und 8, < 16 ergibt
Steh we lien verhdltn is.
4.4 Eingangs- und Ausgangswiderstande von HF-Transistoren 221

a) Koaxialleiter W 8e^
(4-8)
H e^-1
i^AuBenleiter

t]-Dielektrikum (e^) Fiir 2 < P^/H < 20 und 8, < 16 gilt


?^lnnenleiter
W 2
B-l-ln(25-l) (4-9)
b) Symmetrische Leitung
+
Leitungen 2er I fir JJ
{(/A
Vr> / V®)
Dielektrikum
60 V 2 fir +1 V £r
c) Mikrostreifenleitung 37771
Leitung 1 2Zox/e.

,/J*
H ^r
r

^^ ^ ^ Masse
Beispiel
43-1: Fine Mikrostreifenleitung mit Teflon als Sub-
strat {z, = 2,33, Substratdicke H = 0,787 mm, Kupfer-
belag 17|^m) hat eine Lange von 3,25 cm und eine
Breite von 2,355 mm. Welchen Wellenwiderstand hat
L die Leitung?
Bild 4-6. Hochfrequenzleitungen. Losung:
Pr/H = 2,355 mm/0,787 mm = 2,99 und 8,,^ fiir
60 f
H W 1 < W/H < 20
Z =^ ^ In 8 — + 0,25 — (4-6)
e, +1 e, — 1
£..ff = ^ ^2: ^ + -^^^-(l
2 + 12i//P^)-''^
Die effektive Permittivitdtszahl £reff errechnet
sich gemaB 2,33 + 1 2,33-1 1
•• 1 + —z 1 + 12- = 1,962.
2,992
Der Wellenwiderstand Z ergibt sich nach Gl. (4-7) zu
1 2 0 TC 1
+ 0,04 I 1
0]- Z =
y 1,962 2,992 + 1,398 + 0,667 In (2,992 +1,444)
= 49,99 Q.
Fiir 1 < WIH < 20 und ^, < 16 gilt

4.4 Eingangs-
und Ausgangswiderstande
von HF-Transistoren
und
Bei niedrigen Frequenzen sind die Ein- und
'=,eff = ^ + ^(l+12///W^)-''^ Ausgangswiderstande und die Stromverstar-
kung eines Transistors reell. Bei hohen Fre-
Zur Berechnung der Geometrie WjH fur den quenzen werden die KenngroBen des Transi-
gegebenen Wellenwiderstand Z und ein gegebe- stors komplex; denn die Kapazitaten benach-
nes E, gilt 0,05 < WjH < 2 und e, < 16 barter Schichten im Transistor, die Zuleitungs-
222 4 Hochfrequenz (HF)-Verstarker

4.4.1 S-Parameter
Die S-Parameter (Streu-Parameter, engl.: Scat-
tering-parameter) beschreiben die wichtigen Ei-
genschaften eines HF-Transistors, wie Ein-
gangswiderstand, Ausgangswiderstand, Ver-
starkung und Riickwirkung bei einer bestimm-
Bild4-7. Vereinfachtes Ersatzschaltbild eines HF- ten Frequenz. Jeder S-Parameter ist das Ver-
Transistors. haltnis aus einer beim Transistor ankommen-
den und von ihm abgehenden Welle. Sie sind
induktivitaten und die Laufzeiteffekte bewirken deshalb gut mit StandardmeBgeraten der HF-
Verzogerungen der Signaliibertragung. Technik zu messen und - im Gegensatz zu den
Bild 4-7 zeigt ein vereinfachtes Ersatzschaltbild H- und y-Parametern - auch im Mikrowellen-
eines HF-Transistors. Die Kapazitaten zwischen bereich zuverlassig zu bestimmen.
alien Elektroden des Transistors und die Zulei-
tungsinduktivitaten beeinflussen seine Eigen-
schaften und sind deshalb zu beriicksichtigen 4.4.2 Definition der S-Parameter
(Bild 3-6 fiir niederfrequente Anwendungen; Jeder S-Parameter ist der Quotient aus einer
Abschn. 3.2.7, in dem der EinfluB der wichtig- abgehenden Welle (b) und einer ankommenden
sten Blindwiderstande beschrieben ist). Welle (a) am Transistor, der als Vierpol oder als
Die Basis-Emitterkapazitat C^^ liegt zum Ein- Zweitornetzwerk zu betrachten ist. Bild 4-8 a
gang parallel und verbraucht einen Teil des in veranschaulicht die Verhaltnisse der ankom-
der Basis benotigten Eingangsstroms. Die Basis- menden und abgehenden Wellen im Vierpol.
Emitterkapazitat ist eine Diffusionskapazitat
und entsteht durch Ladungstrager, die vom a) AuBere Spannungsverhaltnisse am Vierpol
Emitter in die Basis gelangen. Mit zunehmen-
dem Emitterstrom nimmt die Zahl der Ladungs- ' f^ ° -'-^ — ^ 2
trager und damit die Speicherzeit zu. Sie ist bei =U 1,, , 1 r
Vierpol
HF-Transistoren immer groB im Vergleich zur V z„ k -4T ^0 I
Periodendauer. Deshalb hangt der Eingangs- 0 '
Y„ ^'— ^^2
widerstand des HF-Transistors nicht vom °
Augenblickswert der Eingangsleistung ab.
Die KoUektor-Emitterkapazitat CQ^ liegt zum b) Innere Wechselwirkungen im Vierpol
Ausgang parallel und belastet die Ausgangs-
spannung. Ist der Arbeitswiderstand ein 5^21
Schwingkreis, dann wird die Kapazitat einbezo-
Tori Tor 2
gen und stort nicht, solange die Bandbreite / c \ /c \
10% bis 20% der Mittenfrequenz nicht iiber-
schreitet. Breitbandverstarker haben deshalb
a/ ^"A-y ^
-^=, r/^ "^2

bei mittleren Stromen Transistoren mit hoher


^ Vierpol IT
Transitfrequenz. Interne und externe Lastkapa- J_
zitaten sind sehr klein zu halten.
tjber die KoUektor-Basiskapazitat C^B flieBt c) AuSere Spannungsverhaltnisse auf einen
HF-Transistor iJbertragen
ein Strom vom Kollektor zur Basis. Da die
Basis- und die Kollektorspannung gegenphasig Tori Tor 2
sind, wirkt der Strom in der KoUektor-Basis-
Kapazitat dem Eingangsstrom entgegen. Da-
durch werden der Eingangswiderstand und die
Verstarkung verringert. Tritt im Eingangs- und b^
Ausgangskreis eine zusatzliche Phasendrehung
auf, dann kann iiber die Kollektor-Basiskapazi-
tat eine Mitkopplung entstehen und die Schal- Bild 4-8. Ankommende und abgehende Spannungen
tung schwingt. an einem Vierpol.
4.4 Eingangs- und Ausgangswiderstande von HF-Transistoren 223

Die S-Parameter berechnet man aus vier Span- In den Hochfrequenzschaltungen sind oft viele
nungen {a, b) am Transistor. Die Spannungen Einzelkomponenten im Signalweg in Reihe ge-
sind komplexe GroBen und mussen deshalb schaltet. Die Eigenschaften der Gesamtschal-
nach Betrag und Phase bekannt sein. Es gilt: tung lassen sich mit der Matrizenrechnung gut
a^: Amplitude und Phase der ankommenden berechnen, und sind deshalb haufig in Matri-
Spannung am Tor 1 zenschreibweise dargestellt (Gl. (4-16)).
a2'. Amplitude und Phase der ankommenden
§11 §12
Spannung am Tor 2 = (4-16)
b^: AmpUtude und Phase der abgehenden _^2_ _§21 §22_ _^2_
Spannung am Tor 1
i>2- AmpUtude und Phase der abgehenden oder
Spannung am Tor 2.
b = Sq, wobei gilt [S] = Sn §12
ZQ ist die Impedanz des Generators und Z^ die §22.
Lastimpedanz. Die vier komplexen Elemente der Matrix S be-
Die vier S-Parameter kann man nach Bild 4-8 schreiben die Transistoreigenschaften vollstan-
als Verhaltnis der ankommenden und der abge- dig. Die gemessenen Werte sind frequenzabhan-
henden Spannungen wie folgt beschreiben: gig.

Eingangsreflexionsfaktor: 4.4.3 Messung der S-Parameter


^1 Nach den Gleichungen (4-10) bis (4-13) ermit-
5 (4-10) telt man die S-Parameter als Verhaltnis einer
Q\ fl2 = 0
ankommenden und einer abgehenden Span-
Vorwartsiibertragungsfaktor: nung gemaB der MeBschaltung nach Bild 4-9.
^2 Richtkoppler Transistor mit Richtl<oppler
§21=- 5 (4-11) MeBadapter
02 = 0

Ruckwartsubertragungsfaktor:

§12 — 5 (4-12)
ai=0

Ausgangsreflexionsfaktor:
&2 Bild 4-9. Mefischaltung fur S-Parameter.
-22 ~ ^
(4-13)
^2 ai=0
Die MeBschaltung besteht aus einem Hochfre-
quenzgenerator mit dem Quellwiderstand ZQ,
Bild 4-8 b zeigt den SignalfluB im Vierpol und einem Richtkoppler in der Basisleitung, einem
4-8 c den Vergleich mit einem Transistor. MeBadapter fiir den zu prufenden Transistor,
Die folgende Rechnung soil aus den Eingangs- einem zweiten Richtkoppler in der Kollektorlei-
groBen der Schaltung (a^und a2) und den Tran- tung und einem AbschluBwiderstand mit ZQ.
sistoreigenschaften (den S-Parametern) die Der Richtkoppler ist eine MeBsonde, mit deren
Ausgangssignale b^ und ^2 ermitteln. Das Aus- Hilfe man einen genau bekannten Anteil der
gangssignal am Tor 1 setzt sich aus dem reflek- flieBenden Hochfrequenzleistung an einem Aus-
tierten Eingangssignal ^ I ' S u und dem Ein- gang auskoppeln kann. Vor- und zurucklau-
gangssignal am Tor 2, multipliziert mit dem fende HF-Leistungen werden getrennt erfaBt
Riickwirkungsfaktor a2'S^2 zusammen. Das und an zwei verschiedenen Ausgangen ausgege-
Ausgangssignal am Tor 2 wird in der gleichen ben. FlieBt Hochfrequenz von 1 nach 2 vor-
Weise berechnet und es ergibt sich warts durch den Richtkoppler, dann wird ein
kleiner defmierter Teil dieser Leistung am Aus-
^1 = §^11 ^1 + §12 ^2 ^^^ (4-14) gang r (Vorlauf) ausgekoppelt. Am Ausgang T
(4-15) (Rucklauf) erscheint nur dann ein Signal, wenn
^2 = §21 ^1 + §22 ^2 •
die Hochfrequenzleistung von 2 nach 1 flieBt.
224 4 Hochfrequenz (HF)-Verstarker

Wird HF-Leistung am Transistoreingang re- nach folgenden Gleichungen direkt ermitteln:


flektiert, dann flieBt die Energie gleichzeitig in
beiden Richtungen; dementsprechend wird an G = 20\og\S2,\ dB, (4-17)
beiden Ausgangen, T und 2', eine Spannung dB. (4-18)
R = 20\og\S,2\
ausgekoppelt. Den Betrag und die Phase der
ausgekoppelten Spannung kann man mit einem
Vektorvoltmeter messen (Messung der Absolut-
betrage, des Spannungsverhaltnisses und der 4.5 Rauschparameter
Phasendifferenz zweier gleichfrequenter Hoch- Hochfrequenzsignale erreichen auf ihrem Weg
frequenzspannungen). haufig sehr kleine Pegel, beispielsweise das An-
Die MeBstrecke enthalt am Anfang einen HF- tennensignal am Eingang eines Funkempfan-
Generator G^ und am Ende einen AbschluB- gers. Die folgenden Verstarkerstufen sollen nur
widerstand ZQ. Die Strecke wird in beiden das Nutzsignal verstarken, aber kein Eigenrau-
Richtungen vermessen und Hefert die vier kom- schen hinzufugen. Da alle Komponenten rau-
plexen Spannungen a^, §2, ^i und ^2- Daraus schen, kann man diese Storung nicht verhin-
kann man nach Gl. (4-16) die 5^-Parameter be- dern, sondern nur verringern. Solange die
rechnen. Diese hangen von der Frequenz, vom Signalpegel klein sind, ist nicht die groBtmog-
Arbeitspunkt des Transistors und von der hche Verstarkung, sondern das kleinstmogliche
Sperrschichttemperatur ab. Sie lassen sich gut Rauschen anzustreben. Hierzu wird der Transi-
mit einem Netzwerkanalysator bestimmen, der stor rauschangepafit. Die Rauschanpassung ist
die MeBschaltung nach Bild 4-9 und einen Aus- im allgemeinen keine Leistungsanpassung. Die
werterechner sowie weitere MeBmogHchkeiten Tabelle 4-2 der Rauschparameter gibt die Quel-
enthalt. Mit Hilfe eines Steuerprogramms kann len- und Lastreflexionsfaktoren F^ bzw. TL so-
man einen S-Parameter-Satz fur viele Frequenz- wie die erreichbare Verstarkung bei der gun-
bereiche und Arbeitspunkte des Transistors mit stigsten Rauschanpassung an. Die Reflexions-
geringem manuellem Zeitaufwand ermitteln. faktoren F^ und TL miissen am Transistor einge-
Tabelle 4-1 zeigt einen S-Parameter-Satz. Im stellt sein, um die optimale Rauschzahl NF^^^
allgemeinen werden die S-Parameter den Da- zu erreichen.
tenbiichern entnommen. Diese Werte sind Mit- Die Abkiirzungen bedeuten:
telwerte, die (wie die meisten Transistordaten) Fs Source: Reflexionsfaktor der Quellimpedanz
erhebhch streuen konnen. Zs (FE* in Bild 4-14 c)
Die Abkiirzungen bedeuten: TL Load Reflexionsfaktor der Lastimpedanz
KoUektor-Emitterspannung ZL (r^* in Bild 4-14 c)
KoUektorstrom GA : Verstarkung (Associated Gain).
S Temperatur in °C Bild 4-10 zeigt die Signal- und Rauschpegel am
M AmpHtude (Magnitude) Eingang und am Ausgang eines Verstarkers.
^(deg) Phasenwinkel in Grad (Angle in degree). Das Starke Eigenrauschen des Verstarkers ver-
Aus den S-Parametern lassen sich die Lei- ringert den Eingangsrauschabstand S^/N^ =
stungsverstarkung G und die Riickwirkung R 55 dB auf den Ausgangsrauschabstand SJN^
Tabelle 4-1 . S-Parameter-Satz.

Transistor JL1958 (,9 = 25 °C, F^s = 2 V, /D == 15mA)

/(GHz) Sn ^12 ^21 ^22

M A (deg) M ^(deg) M ^(deg) M ^(deg)

1,0 0,833 -52,9 0,059 50,2 3,230 132,3 0,604 -37,3


1,5 0,812 -63,7 0,073 42,6 3,077 122,0 0,595 -44,9
2,0 0,791 -76,0 0,078 34,9 2,920 111,1 0,587 -51,5
2,5 0,768 -82,9 0,081 28,0 2,751 101,7 0,580 -58,2
3,0 0,751 -91,5 0,084 21,0 2,592 92,5 0,576 -65,0
4.5 Rauschparameter 225

Tabelle 4-2. Typische Rauschparameter.


Transistor PL 1962 {V^^ = 10 V, /c = 10 mA)

Frequenz NF . r^ Source TL Load


^ min

GHz dB dB Mag. Angle Mag. Angle

1,0 1,3 15 0,17 - 64 0,76 88


2,0 2,2 7 0,56 -172 0,93 78

a) Verstarker
In Datenbiichern wird haufig die Rauschzahl
NF (engl: Noise Figure) in dB angegeben. Die
Rauschzahl NF ist der in dB umgerechnete
Rauschfaktor F.

Rauschzahl: NF = 10 logF dB . (4-20)


b) Pegelverhaltnisse vor und nach dem Verstarker

0
Die Ausgangsrauschleistung N^ besteht aus der
Eingangsrauschleistung N^ multipliziert mit
-10
i : p •
ausi \ i i der Verstarkung G plus dem im Verstarker er-
-20
/'ein G
Pa zeugten Rauschen N^, so daB gilt
-30
CO - 4 0
/\ A/a
= GN, + N,. (4-21)
"D

£-50
k ^a

i--60
/ \ 1 , Fiir die Ausgangsnutzleistung S^ gilt
-70
/ Y 7+NF

-80
/ \ WNHNHHV s.- = GS,. (4-22)
-90 1 "nil

Die Eingangsrauschleistung N^ ist in Abschn.


3.1.3.6, Gl. (3-12), als PR beschrieben. Sie ist phy-
Bild4-10. Signal-Rauschverhdltnis vor und nach sikaHsch bedingt und kann nur durch ein Ab-
einem Verstarker. senken der absoluten Temperatur T und der
Bandbreite d / verringert werden. Die Verstar-
kung G ist unbedingt erforderlich, und man
= 50 dB. Das Bild zeigt die Aufnahme eines
kann sie nicht herabsetzen. Das im Verstarker
Spektrum-Analysators vor und nach dem Ver-
erzeugte Rauschen N^ hangt somit von der
starker.
Bauart und der Betriebsweise des Transistors
im Verstarker ab. Deshalb kann man das Rau-
4.5.1 Rauschfaktor schen nur an dieser Stelle durch geeignete Tran-
Das Verhaltnis des Signal-Rauschabstands am sistoren in der richtigen Schaltung beeinflussen.
Eingang S^/N^, zum Signal-Rauschabstand am Gute Verstarker erreichen eine Rauschzahl von
Ausgang S^/N^, wird Rauschfaktor F genannt. iVF< 2 dB.

SJN, S,N, 4.5.2 Rauschen bei mehrstufigen


Rauschfaktor: F = , (4-19) Verstarkern
SJN^ S^N,
wobei Die erste Stufe einer Verstarkerkette (Bild 4-11)
S^: Eingangssignalleistung erhalt das kleinste Eingangssignal und be-
S^: Ausgangssignalleistung stimmt deshalb das Signal-Rauschverhaltnis
ATg: Eingangsrauschleistung zum groBten Teil. Ist die Verstarkung der ersten
N^: Ausgangsrauschleistung Stufe klein und das Rauschen der Stufen groB,
dann vergroBert dies die Gesamtrauschzahl des
226 4 Hochfrequenz (HF)-Verstarker

wie bei Gleichstrom aufstellen, jedoch kom-


plexe GroBen einsetzen und nach den Regeln
der komplexen Rechnung erarbeiten kann. Die
GroBen sind in der komplexen Ebene anschau-
Bild4-ll. Dreistufige Verstdrkerkette. lich darstellbar (Bild 4-12 und Abschn. 1.8.1,
Bild 1-38 und Bild 1-43).
Verstarkers. Der EinfluB des Rauschens nimmt
mit zunehmendem Signalpegel ab. Die Gesamt- Bild 4-12 a zeigt zwei komplexe Zweipole: Z^
rauschzahl NFQ laBt sich nach Gl. (4-23) be- als Reihenschaltung eines Widerstandes und ei-
rechnen:
a) Zwei komplexe Zweipole Z^ und Z j

NF2-1 JVF3-1 L _R
(4-23)
Zi

Fixr jede weitere Stufe folgt ein weiterer Term, b) Komplexe Ebene in linearem MaRstab

der aus der Rauschzahl der Stufe und der Ver-


starkung der vorhergehenden Stufen zu berech- i,ol \0J = °o

n e n i s t (Bild4-ll). 0,5
z,
. X co=0
1 1
Jy- 0
Beispiel 0,5 1 CJ = oo 2
/?/Zn
-0,5
4.5-1: Welche Rauschzahl NF und welche Verstar- i?
-1,0 |.=o
kung G in dB hat der Verstarker in Bild 4-10, wenn
das Eingangssignal — 30,5 dBm und das Ausgangs-
c) Komplexe Ebene in logarithmischem MaRstab
signal —13,5 dBm betragt?
, 1

-' -' i
Losung: 1,0
0,5
Die Verstarkung G ist 0,2 - d a e
I I
0 J I L_
G = P,i„-P,„, = -13,5 d B m - ( - 3 0 , 5 dBm) = 17dB; 0,2 0,5 M 5 10 ^/z.
-0,2
nach Gl. (4-19) ist der Rauschfaktor: -0,5 - i2
-1,0 -c
SJN^
F=

Aus Bild 4-10 kann man den Signal-Rauschabstand


S/N graphisch ermitteln. Er betragt beim Eingangs-
signal S^/N^ = 55 dB und beim Ausgangssignal SJN^
= 50 dB. Somit ergibt sich ein Rauschfaktor von
F = 3,2. Konforme Abbildung
Nach Gl. (4-22) wird das Signal und ebenso das Rau- der komplexen Ebene
zum Smith-Diagramm
schen nach Gl. (4-21) um G verstarkt. Dazu kommt Die Punkte a bis d
noch das Eigenrauschen N^ des Verstarkers. Um die- kennzeichnen gleiche
Werte in Teilbild
ses Eigenrauschen ist das Signal-Rauschverhaltnis c) und d).
nach dem Verstarker schlechter als zuvor. Die in der
Praxis iibliche Rauschzahl NF berechnet sich nach
Gl. (4-20) zu
iVF = 101ogF = 5 d B .

4.6 Darstellung komplexer GroBen


Smith-Diagramm mit
Bei der Berechnung von Wechselstromschal- den frequenzabhangigen
tungen ist auBer den Amplituden von Spannun- Ortskurven der Zweipole
Zi undZj
gen und Stromen auch deren Phasenwinkel zu
ermitteln. Hierzu eignet sich die komplexe Bild 4-12. Darstellung von Wechselstromgrofien in
Rechnung sehr gut, da man die Gleichungen der komplexen Ebene.
4.6 Darstellung komplexer GroBen 227

ner Spule; Z^ als Reihenschaltung eines Wider- Reflexionsfaktor r aus der Quellimpedanz ZQ
standes mit einem Kondensator. Fiir den Wech- (meist 50 Q) und der Lastimpedanz ZL eines
selstromwiderstand (Impedanz) gelten die Be- HF-Verbrauchers berechnet werden kann. Den
ziehungen Z^=R+jcoL und Z2 = i^ + 1/jcoC. komplexen Reflexionsfaktor r kann man in sei-
Die elektrischen GroBen: Spannung, Strom nen Betrag und den Phasenwinkel zerlegen und
und Widerstand bezieht man auf einen Grund- in das Smith-Diagramm nach Bild 4-13 eintra-
wert ZQ (in der HF-Technik meistens 50 Q). gen. Es gilt
Diese normierten Werte sind dimensionslos.
Bild 4-12 b zeigt die Ortskurven von Z^/ZQ fur (4-24)
eine veranderliche Kreisfrequenz co in einer
linearen Darstellung. Die logarithmische Ach-
senteilung von Bild 4-12 c gibt einen groBeren
Bereich wieder, ohne daB die Genauigkeit
wesentlich leidet. Eine konforme Abbildung der
wichtigen rechten Halfte der komplexen Ebene
(nur hier sind die Wirkwiderstande positiv)
fiihrt zu einem Kreis, dem Smith-Diagram (Bild
4-12 d). Es erlaubt die Beurteilung der HF-
GroBen nach Betrag und Phase als Funktion
der Frequenz. Die Kreise mit dem Mittelpunkt
auf dem Durchmesser 0 bis 00 sind die Ortskur-
00 0
ven normierter Widerstande mit konstantem
Realteil (Skalierung auf dem Durchmesser) und
variablem Imaginarteil. Die auf dem AuBen-
kreis beginnenden und rechts im Punkt 00
endenden Kreissegmente sind Ortskurven mit
konstantem normiertem Imaginarteil und varia-
blem Realteil. Beide Ortskurven stehen auch
nach der konformen Abbildung senkrecht auf-
einander. Das Smith-Diagramm ist eine nor-
mierte Darstellung, wobei die Impedanz auf
einen Widerstand oder auf einen Leitwert zu \r\ = ^ l/-|=0,5 lrl=0
beziehen ist. Dabei gilt G/GQ - l/(Z/Zo), d.h., Bild 4-13. Darstellung des Reflexionsfaktors r im
der normierte G/GQ-Leitwert ist der Kehrwert Smith-Diagramm.
des normierten Widerstandes. Im Smith-Dia-
gramm entsteht der Kehrwert durch die Spiege-
lung eines Wertes am Mittelpunkt (Bild 4-14).
Dieser Zusammenhang erleichtert die Arbeit im Der Reflexionsfaktor r wird vom Mittelpunkt
Smith-Diagramm, da die Reihenschaltung von des Kreises in Polarkoordinaten aufgetragen.
Widerstanden im Widerstandsbereich, die Par- Die Amplitudeneinteilung zum Radius des
allelschaltung besser im Leitwertebereich ge- AuBenkreises ist linear, die Winkelzahlung be-
schieht (Anpassung im Smith-Diagramm). ginnt beim waagrechten Radius nach rechts bei
0° und nimmt gegen den Uhrzeigersinn zu. Die
Wird ein Signal aus einer Quelle mit ZQ an Kreise mit dem Mittelpunkt auf dem Durch-
einem Verbraucher mit Z^ teilweise reflektiert messer 0 bis 00 sind die Ortskurven normierter
(Abschn. 4.1), dann ist das reflektierte Signal Widerstande mit konstantem Realteil (Skalie-
normalerweise kleiner als das eingespeiste und rung auf dem Durchmesser) und variablem Ima-
zwischen beiden besteht ein Phasenwinkel. Das ginarteil. Die auf dem AuBenkreis beginnenden
normierte AmpHtudenverhaltnis und der Pha- und rechts im Punkt 00 endenden Kreisseg-
senwinkel zwischen der vorlaufenden und der mente sind Ortskurven mit konstantem nor-
reflektierten Spannung ist im Smith-Diagramm miertem Imaginarteil und variablem Realteil.
gut darstellbar. Es heiBt deshalb auch Refle- Beide Ortskurven stehen auch nach der konfor-
xionsfaktorebene. Gl. (4-24) gibt an, wie der men Abbildung senkrecht aufeinander.
228 4 Hochfrequenz (HF)-Verstarker

4.7 Anwendung des pen, die diese Impedanz xiber einen groBen Fre-
quenzbereich einhalten, konnen problemlos mit
Smith-Diagramms anderen HF-Baugruppen zusammengeschaltet
In der Hochfrequenztechnik finden viele ver- werden. Baugruppen, deren Impedanz von den
schiedene Baugruppen Verwendung, die am reellen 50 Q abweicht, muB man iiber ein Trans-
Eingang und Ausgang stets die charakteri- formationsnetzwerk auf ZQ = 50Q. bringen.
stische Impedanz ZQ = 50Q haben. Baugrup- Ihre komplexe Impedanz und die erforderliche
a) Transistor mit AnpaRnetzwerken

BO a
r r 1 150^

AnpaBnetzwerk 1 Transistor JL1958 AnpaKnetzwerk 2

b) Reflexionsfaktoren an den Schnittstellen der Schaltung

Eingangsreflexionsfaktor Eingangsreflexionsfaktor Ausgangsreflexionsfaktor Ausgangsreflexionsfaktor


der Schaltung des Transistors des Transistors der Schaltung

3GHz s,,

c) Gewahlte AnpaKnetzwerke fijr die Transformation


des Eingangs- bzw. Ausgangsreflexionsfaktors an Z = 50^2

,.Ci

r
50 fi
nri
r c,=p 1 5012

d) Transformationsweg der Eingangs- bzw. Ausgangsanpassung des Transistors

Eingangs-
anpassung

5GHz

2.5GHz

Bild4-14. Prinzipielles Vorgehen bei einer Transistoranpassung mit dem Smith-Diagramm.


4.7 Anwendung des Smith-Diagramms 229

Transformation ist vorteilhaft im Smith-Dia- wahlt man nach der Erfahrung. Bild 4-15 b gibt
gramm darzustellen. Die Impedanz entnimmt dazu eine Ubersicht und eine Auswahlhilfe;
man dem Datenblatt oder miBt sie mit einem die Zahlen unter den Einzelnetzwerken geben
Netzwerkanalysator. Bild 4-14 zeigt das prinzi- an, welche Impedanzbereiche im Smith-Dia-
pielle Vorgehen bei der Transistoranpassung. gramm nach Bild 4-15 a transformiert werden
Bild 4-14 a zeigt einen HF-Transistor mit noch konnen.
unbekannten Anpassungsnetzwerken und Bild Der Eingangswiderstand des Transistors nach
4-14 b die Impedanzen an den vier Schnittstel- Bild 4-14 a liegt im Bereich 4 des Smith-Dia-
len. Dabei haben Eingang und Ausgang bei gramms und ist mit einem Netzwerk nach Bild
jeder Frequenz den reellen Widerstand von 4-15 auf 50 Q zu transformieren. Den Eingangs-
50 Q, d. h., der normierte Realteil ist eins, der reflexionsfaktor F^ des Transistors, fiir die Fre-
Imaginarteil null. Die Eingangs- und Ausgangs- quenz beispielsweise / = 2,5 GHz, zeichnet man
impedanz des Transistors ist dagegen von 50 Q in das Eingangsdiagramm, Bild 4-14d, ein
verschieden und frequenzabhangig. Der jewei- (Punkt 1). Mit dem Netzwerk nach Bild 4-15 d
lige komplexe Widerstand ist im Smith-Dia- schaltet man dem Transistoreingang eine Spule
gramm dargestellt (Bild 4-14 b). Im Prinzip parallel. Die Parallelschaltung von Widerstan-
kann man den Realteil mit einem fiir die Fre- den ist am leichtesten als Addition ihrer Leit-
quenz geeigneten Transformator anpassen, werte zu ermitteln. Der komplexe Widerstand
wahrend man den Imaginarteil mit einem im Punkt 1 wird am Mittelpunkt Z/ZQ = 1 ge-
Blindwiderstand mit entgegengesetztem Vorzei- spiegelt und ist jetzt als normierter Leitwert
chen kompensiert. Mit den Netzwerken nach (Punkt 2) dargestellt. Die parallel geschaltete
Bild 4-15 sind alle Transformationen losbar. Oft Spule Li muB so groB sein, daB der Realteil des
verwendet man Netzwerke ohne Ubertrager. normierten Eingangsleitwerts eins wird. Die zu-
Das fiir eine Schaltung giinstigste Netzwerk gehorige Ortskurve ist der Kreis K2. Damit

a) Einteilung des Smith-Diagramms b) AnpaBnetzwerke mit Angabe der nutzbaren Bereiche


in die Bereiche 1 bis 6
Z.C-Netzwerke

-?o

a) 1,2,3
J 21 b) 1,2,4 c) 3, 5, 6
X d) 4,5,6

e) 3
Zl I
"V
ZQ

°
f) 4
I
-Hh

g) 3
T
X h) 4
I
Netzwerke mit Ubertrager

^J Q ^ E "^ O '^
i) 1 , 3 , 5 j) 1 , 3 , 5 k) 2,4,6 1) 2 , 4 , 6
AnpaB-
Netzwerk Netzwerke mit Leitung

D
-o o—•-0——o o—, I—0—0

z zM z zMm z
-o o-l—o o o—I 1—0 o
p) 1-6 q) 1-6

Bild 4-15. Anpafinetzwerke fur verschiedene Bereiche des Smith-Diagramms.


230 4 Hochfrequenz (HF)-Verstarker

wird auch der Realteil des normierten Ein-


gangswiderstandes eins (Kreis K^). Der Kreis
K2 ist die am Punkt Z/ZQ = 1 gespiegelte Orts-
kurve des Eingangswiderstandes mit dem nor-
mierten Realteil 1. Die parallel geschaltete
Spule Li verkleinert nur den Imaginarteil, wes-
halb die Ortskurve ein Kreis mit konstantem
Realteil von Punkt 2 nach Punkt 3 gegen den
•D
Uhrzeigersinn ist. Der Punkt 3 beschreibt den <
Eingangsleitwert des Transistors mit parallel
geschalteter Spule L^. Laut Netzwerk muB man
noch einen Kondensator in Reihe schalten, um
50 Q zu erreichen; der Punkt 3 wird deshalb am
Mittelpunkt zum Widerstand (Punkt 4) gespie-
gelt. Der Eingangswiderstand hat jetzt einen
positiven imaginaren Anteil, der mit einem ent-
sprechenden negativen imaginaren Widerstand Bild 4-16. Transformationsweg der Eingangsanpas-
(d. h. einem Kondensator) zu kompensieren ist. sung des Transistors JL1958.
Die Reihenschaltung des Kondensators C^ er-
ganzt den positiven Imaginarteil der Impedanz
zu null. Damit ist der Eingang des Transistors Die ParaZ/eMnduktivitat addiert man in der Leitwert-
an 50 Q angepaBt. ebene, d.h. der normierte Widerstand ZJZQ im
Punkt P^ wird am Kreismittelpunkt gespiegelt. Man
Diese Anpassung ist eine erste Naherung. Bei erhalt daraus den normierten Leitwert Y2/YQ = Y2 ZQ
genauerer Betrachtung ist die Riickwirkung des im Punkt P2. Aus dem Diagramm ist der Wert
Verstarkers in beiden Richtungen zu beruck- Y2 ZQ = 0,17 -i-j 0,76 zu entnehmen.
sichtigen; denn die Ruckwirkung der Ausgangs- Der Zielpunkt P5 liegt auf dem Kreis K^; hier ist der
spannung auf den Eingang verandert den Ein- Realteil von Z/ZQ = 1 und der Imaginarteil beliebig.
gangswiderstand. Der Kreis K^ wird am Mittelpunkt zum Kreis K2
gespiegelt, auf ihm ist der Realteil des Leitwerts
1/50 Q = 20 mS und der normierte Realteil 1. Schaltet
Beispiel man dem Leitwert im Punkt P2 eine Induktivitat
4.7-1: Der Eingang des Transistors in Bild 4-14 soil parallel, dann verringert sich sein Imaginarteil, d.h.
mit einem AnpaBnetzwerk bei einer Frequenz der normierte komplexe Leitwert bewegt sich auf dem
/ = 2000 MHz ohne Beriicksichtigung der Riickwir- roten Kreissegment von P2 nach P3. Im Punkt P3 wird
kung an eine Vorstufe mit einem Ausgangswiderstand der normierte Realteil der Parallelschaltung 1; denn
R = 50Q angepaBt werden. Die Werte der Spule L^ der in den Widerstandbereich zuriickgespiegelte
und des Kondensators C^ sind zu berechnen. Punkt P4 hegt auf dem Kreis mit dem Realteil 1 des
normierten Widerstandes Z/ZQ. Der Wert fur die
Losung: zuriickgelegte Strecke jy von P2 nach P3 betragt
jy = - 7 1,14. Li ist aus Gl. (4-25) und (4-26) zu be-
Das Datenblatt enthalt folgende S-Parameter fiir stimmen.
2 GHz:
S i i = 0,791 -76,0° Zo
Si2 = 0,078 34,9° -jy = -.—-•
(4-25)
^21 = 2,920 111,1°
§22 = 0,587 -51,5°
S^i tragt man nach Betrag und Phase in das Smith- Im vorliegenden Fall ist -jy = -71,14. Fiir Li gilt
Diagramm ein (Bild 4-16, Punkt 1).
Aus der Lage des Eingangswiderstandes S^^ sucht (4-26)
man mit Bild 4-15 ein Kompensationsnetzwerk aus. co^y
Der Eingangswiderstand liegt im Bereich 4, er ist mit
dem Netzwerk nach Bild 4-15 d anzupassen. Fur das Beispiel erhalt man
Die Werte fiir die Spule und den Kondensator berech-
net man aus dem Transformationsweg gemaB Bild 50 Q
L,= :3nH.
4-16 und bestimmt zuerst die Induktivitat L^. 271 •2000MHz-1,14
4.7 Anwendung des Smith-Diagramms 231

Der Punkt P4 zeigt den normierten Eingangswider- herstellung streuen die S-Parameter, so da6 die
stand ZJZQ des Transistors mit der parallelgeschal- realisierte Schaltung die Idealwerte meistens
teten Induktivitat L^. Sein Wert ist aus dem Smith- nicht ganz erreicht.
Diagramm als ZJZQ = 1+7 2,2 abzulesen. Der richti-
ge in Reihe geschaltete Kondensator ergdnzt den Ima- Die Uberlegungen und Berechnungen dieses
gindrteil zu null und belaBt den Realteil. Er verschiebt Abschnitts sind zusatzlich zur Festlegung des
den Eingangswiderstand vom Punkt P4 zum Punkt Arbeitspunktes notwendig, die wie bei N F -
P5. Der Kondensator C^ mu6 bei 2000 MHz den Transistoren erfolgt und in Abschn. 3.2 be-
Wert - ; 2 , 2 haben. schrieben ist. Das Beispiel zeigt, daB HF-Tran-
Der Wert fur die zuriickgelegte Strecke von sistoren schwieriger zu beschalten sind als
ZJZQ nach ZJZQ betragt - 7 2,2. C^ ist aus Gl. (4-27) NF-Transistoren. Sollen HF-Schaltungen ent-
und (4-28) zu bestimmen. wickelt werden, dann ist dieses zu bedenken.
1 Zur Ubuiig
(4-27)
Jy - . n-/ '
U4-1: Eine Satelliten-Empfangsanlage enthalt einen
LNA (Low Noise Amplifier), der aus folgenden Stufen
wobei —j y — —j 2,2 und besteht:
1. Stufe: Verstarker (G = 10 dB, NF = 0,8 dB)
1 2. Stufe: Verstarker (G = 11 dB, iVF = 2 dB)
(4-28)
WoZoy' 3. Stufe: Verstarker (G = 8 dB, iVF = 1,5 dB)
Welche Gesamtrauschzahl NFQ hat der LNA?
damit errechnet sich C^ zu U4-2: Ein Generator mit der Impedanz ZQ = 50Q
wird mit einem Widerstand 1^ = 50 Q abgeschlossen,
1 zu dem eine Spule L = 10 nH parallel geschaltet ist.
= 0,7pF.
271 •2000MHz-500-2,2 a) Welche Moglichkeiten bestehen, den Reflexions-
faktor zu bestimmen?
Das Ergebnis dieser Berechnung ist eine Nahe-
b) Welcher Reflexionsfaktor verursacht die Lastim-
rung. In der Praxis ist die Ruckwirkung zu pedanz Z^ bei einer Frequenz / = 50 MHz und bei
berucksichtigen, die den Eingangs- und Aus- f=5 GHz?
gangswiderstand beeinflufit und eine mogliche
Schwingneigung des Verstarkers hervorruft. U 4-3: Der Ausgang des Transistors JL1958 (Transi-
stordaten in Tabelle 4-1) soil mit einem AnpaBnetz-
Hierzu ist eine Stabilitatsbetrachtung notwen-
werk bei einer Frequenz / = 3 GHz ohne Beriicksich-
dig, die aus den S-Parametern des Transistors tigung der Riickwirkung an eine Last mit einem
stabile und instabile Arbeitsbereiche im Smith- Eingangswiderstand R = 50Q angepaBt werden.
Diagramm ermittelt und Hinweise fiir eine An- a) Welche AnpaBnetzwerke sind nach Bild 4-16 mog-
derung der AnpaBnetzwerke im Eingangs- und Hch?
Ausgangskreis des Transistors gibt. Durch die b) Der Wert der Spule und der des Kondensators ist
unvermeidbaren Toleranzen bei der Transistor- fiir das AnpaBnetzwerk in Bild 4-14 c zu berechnen.
232 5 Bauelemente der Leistungselektronik

5 Bauelemente der 5.1.1 Statische Kennlinien

Leistungselektronik Bild 5-3 zeigt eine Testschaltung und die Kenn-


linien. Ist der Thyristor nicht gezundet, d. h. ist

In der Leistungselektronik setzt man besondere


Halbleiterbauelemente ein, urn steuerbare Ener-
gie fur groBe Stromverbraucher zu erzeugen,
beispielsweise fur Elektromotoren, Heiz- und
Schmelzofen, Elektrolyse- und Galvanikan-
lagen, Hochspannungsgleichstromiibertragung
(HGU) oder Sendeanlagen. Auch in der Kraft-
fahrzeugtechnik und im Haushalt findet die
Leistungselektronik zunehmend Verwendung.
Bild 5-1 gibt eine Ubersicht liber die wichtigsten
Leistungshalbleiter. Als Grundmaterial ver-
wendet man n-dotiertes Silicium und erzeugt
durch Diffusionsprozesse den jeweiligen Schicht-
aufbau. Die Siliciumtablette hat je nach Strom-
starke, einen Durchmesser von 5 bis 100 mm
und ist in einem Gehause gegen Umweltein-
fliisse geschutzt. Die Verlustwarme muB liber
den Gehauseboden und einen Kiihlkorper an
die umgebende Luft abgefuhrt werden. Bei
groBen Leistungen haben die Halbleiter Was- ^ / " ^
serkiihlung. Fiir Leistungen bis etwa 10 kW
Bild 5-2. Leistungsthyristoren unterschiedlicher Bau-
sind bipolare Leistungstransistoren und MOS- weise.
FET im Einsatz. Diese Halbleiter wurden in
Abschnitt 3 beschrieben. Als weitere Bauele- a) Schaltbild
mente haben sich bewahrt: Der Thyristor, der
Triac, der GTO (Abschaltthyristor) sowie der
IGBT (Insulated-gate-bipolar-transistor).
TG ?/<

5.1 Thyristor
0 D«l"-
Ein Thyristor ist eine Vierschichttriode mit einer
p-n-p-n-Struktur. Ahnlich wie bei einer Lei-
stungsdiode ist der zulassige Thyristorstrom
abhangig vom Tablettendurchmesser und die b) Kennlinien
/A
zulassige Thyristorspannung von der Tablet- /'T DurchlaR-
tendicke. Ein Thyristor kann nur in einer Rich- ^,,^ bereich
tung einen Laststrom leiten. Durch einen Ziind-
impuls auf das Gate schaltet man den Thyristor — ^ — >
ein. Ist er in einer Wechsel- oder Drehstrom-
schaltung angeordnet, so geht der Laststrom
de
nach einer Halbwelle gegen null und der Thyri- Blockier-
bereich
stor verloscht. Man spricht von naturlicher I Sperr-
j bereich
Kommutierung. In jeder Periode wird der Thyri-
stor neu gezundet. Bild 5-2 zeigt verschiedene
Dioden und Leistungsthyristoren, teilweise in Bild 5-3. Testschaltung und statische Kennlinien ohne
platzsparender Modulbauweise. ZUndung.
5.1 Thyristor 233

UO!lB|OS|

O T 3 -
^ J- ^

-i c
D)
O 0)
0) C WJ

O LLI ^1^
T 1 c
O 3 03

C OJ 0)
"cu x : - ^
CD i l CD
52 <" H-
<U CD ? § ^ cu
42 -D i 5 55"-z .> o ^
C C O CD ,0^
c o o
LU D Q.T3 C3

C •- OJ
E tiJ t ; S'
+ 1 o - a3=o £ c
O-i— c 1

02
CD
to ^ 2^l> ^ CD

H *+ 1 CD T «rt t

M
_EJ > < X
Q. 1
> § o S
+ 1
•4 Q- c c 1 O C Q) «2

^h- •D
fH Q.I
+ 1
°-c ^"^
-C C O 05
^ I c E
ir;- 5
E.^

f
E t;;
< (J CO 1
+ 1
C 1
O-i- Q.J
i^ O Lu c^j

J 11+
I C .E E
CD C O
1+
^ < X
Ic '— Is LO O
^ - in
O
lo

CQ >
1+ I
Ic I—
^^
I 1^ •Q5 2? ^
_ ^ _a3 X )
o t ! +2

i ^
E (D CD
P ^ c

S E
"3 n r-
c i:

a
. C 0)

r^^ i c Q-j
JiC f- "^

1 CD > ^
— '+-' 0 5
_2 -^ :0 aj
« RE.?
>
C

^ o
CD t^ o ^ C J2
CO ^ c)^ S
234 5 Bauelemente der Leistungselektronik

der Gatestrom IQ = 0, so ergeben sich die in


Bild 5-3 b dargestellten Kennlinien.
Uj=Uij)
1st der Augenblickswert der Netzspannung
^Netz > 0, so blockiert der Thyristor den Strom,
d. h. es flieBt ein kleiner Blockierstrom ij^ von
einigen mA. Der Thyristor befindet sich im
Blockierbereich; die elektrischen GroBen haben
den Index D. Die maximale Spitzenspannung
ist im Datenblatt mit L/^DRM bezeichnet und darf
auch kurzzeitig nicht liberschritten werden, an- Bild 5-4. Durchlafikennlinie.
dernfalls kippt der Arbeitspunkt von der Blok-
kier- auf die DurchlaBkennHnie. Man spricht DurchlaBbereiches - die elektrischen GroBen
von Uberkopfziindung; diese kann den Thyri- haben den Index T - zeigt Bild 5-4. Die Kenn-
stor zerstoren. linie laBt sich durch folgende zwei Gleichungen
Ist die Netzspannung t/N^t^; < 0, so sperrt der mathematisch darstellen:
Thyristor. Der Thyristor befindet sich im Sperr-
bereich; der Index ist R. Die Sperrkennhnie ist (5-1)
spiegelbildhch zur BlockierkennHnie. Wird die
Spitzensperrspannung C/RRM iiberschritten, so
r = Au/Ai. (5-2)
steigt der Sperrstrom i^ lawinenartig an und
zerstort den Thyristor. Der Maximalwert der Dabei ist t/jo die Schleusenspannung und r der
Betriebsspannung darf aus Sicherheitsgriinden Ersatzwiderstand. Im DurchlaBbetrieb, d. h. der
hochstens 50% von L^RRM betragen (d.h. der Thyristor ist geziindet, kann der Halbleiter bis
Spannungssicherheitsfaktor S hat dann den zum Dauergrenzstrom belastet werden. /JAVM
Wert 2). ist der dauernd zulassige Mittelwert des Durch-
laBstromes bei Belastung mit sinusformigen
Beispiel Stromhalbwellen und bei bestimmten Kiihlbe-
5-/; Gegeben sei die Netzspannung U = 230 V bei der dingungen entsprechend Bild 5-5.
Frequenz 50 Hz und der Spannungssicherheitsfaktor
sei gleich 2. Berechnet werden soil der Maximalwert
der Betriebsspannung U und die Spitzensperrspan-
nung L/RRM-

Losung:
-'TAVMr'i
Es ist U = 230 >/2 = 325 V. Die Spitzensperrspan-
nung (7RRM berechnet sich zu C/RRM = U S = 325 V • 2
= 650 V.
Bild 5-5. Definition des Dauergrenzstromes /TAVM-
Ist die Spannung u am Thyristor positiv (Bild
5-3 a), so kann der Thyristor durch einen Ziind-
Beispiel
strom IQ gezundet werden. Der Arbeitspunkt
springt von der Blockier- auf die DurchlaB- 5-2: Der zulassige Dauergrenzstrom /JAVM sei 100 A.
kennHnie (Bild 5-3 b). Sobald der jetzt einset- Wie groB ist die Amplitude der sinusformigen Strom-
zende Laststrom einen Mindeststrom - auch halbwellen?
Einraststrom genannt - (etwa 10 mA bis 100 mA)
iiberschritten hat, wird der Ziindstrom nicht Losung:
mehr benotigt; der Thyristor bleibt eingeschal- Es ist / j = /TAVM ' ^ = ^14 A.
tet. Zum Ziinden wird daher ein Ziindimpuls
Oder eine Folge von Ziindimpulsen verwendet. Im DurchlaBbetrieb betragt der Spannungsab-
Hat der Ziindimpuls dariiber hinaus einen stei- fall Wj am Thyristor bei Belastung mit dem
len Anstieg und eine groBe Amphtude, so schal- Dauergrenzstrom etwa 1,5 V bis 2 V. Je nach
tet der Thyristor schneller ein und die Ziindzeit Betriebsart, Schaltung und Kuhlverhaltnissen
tQ verringert sich. Eine genauere Analyse des ist der zulassige Thyristornennstrom durch eine
5.1 Thyristor 235

Erwarmungsrechnung zu ermitteln. Im Sperr-


und DurchlaBbereich verhalt sich der Thyristor
wie eine Leistungsdiode.

5.1.2 Dynamische Kennlinien


Wird der Thyristor geziindet, so vergehen ei-
nige Mikrosekunden, bis er vollstandig durch-
geschaltet ist. Bild 5-6 zeigt einen Einschaltvor-
gang mit dem Strom- und Spannungsverlauf
am Thyristor.

Bild 5- 7. Ausschaltvorgang.

wahlt als die Freiwerdezeit t^. t^ wird als Schon-


zeit bezeichnet, sie kann durch die Schaltung
beeinfluBt werden.

5.1.3 Schutzbeschaltung
Leistungshalbleiter sind gegen Uberspannung,
zu hohe Spannungsanstiegsgeschwindigkeit,
[-*- Ziindzeit fg-*H KurzschluB, zu hohe Stromanstiegsgeschwin-
Bild 5-6. Einschaltvorgang. digkeit und thermische tJberlastung zu schiit-
zen. Die Spitzensperr- und Blockierspannung
Die Ziindzeit kann in 3 Abschnitte unterteilt darf man auch kurzzeitig nicht uberschreiten;
werden: 1.) Die Ziindverzugszeit, beginnend man wahlt daher den Maximalwert der Be-
vom Einsatz des Ziindstromes bis zum Abfall triebsspannung um den Spannungssicherheits-
der Thyristorspannung auf 90%. 2.) Die Durch- faktor S kleiner als die Spitzensperrspannung.
schaltzeit, wahrend dieser Zeit fallt die Span- Ubliche Werte von S sind 2 bis 3. Eine R-C-
nung am Thyristor von 90% auf 10% ab. Schutzbeschaltung setzt hochfrequente Span-
3.) Die Ziindausbreitungszeit infolge der endh- nungsspitzen herab und begrenzt die Span-
chen Ausbreitungsgeschwindigkeit der Zundla- nungsanstiegsgeschwindigkeit. Die zulassigen
dungstrager. Beim Ausschalten ist im Strom- Werte sind dem Datenblatt zu entnehmen; sie
nulldurchgang der Thyristor noch nicht voll- liegen im Bereich von 100 V/}is bis 1000 V/^is.
standig geloscht. Die Ladungstrager stauen sich Zusatzlich kann man einen Varistor einsetzen.
in den p-n-Schichten (man bezeichnet dies als Bild 5-8 zeigt eine solche Schutzbeschaltung.
Tragerstaueffekt: TSE). Bis zum Abbau der
Elektronen und Locher kann ein negativer
6t
Strom flieBen. Erst nach Ablauf der Freiwerde-
zeit tq kann der Thyristor Spannungen blockie-
ren (Bild 5-7). Man unterscheidet zwischen 1
V
langsamen oder Netzthyristoren mit Freiwerde-
'd7 J- \A

¥
zeiten von t^ > 20 jis und schnellen oder Fre-
quenzthyristoren mit t^ < 20 |is. Im Zeitpunkt f2 A
tritt eine groBe Stromanderungsgeschwindig-
keit auf. In Verbindung mit stets vorhandenen
Induktivitaten kann es zu hohen Uberspan- Bild 5-8. R-C-Schutzbeschaltung mit Varistor.
nungsspitzen kommen. Deshalb ist eine TSE-
Schutzbeschaltung notig. Aus Sicherheitsgrun- Tritt im Lastkreis ein KurzschluB auf, so muB
den wird die Zeitdifferenz ^3 — t^ = t^ groBer ge- eine superflinke Schmelzsicherung den Lei-
236 5 Bauelemente der Leistungselektronik

stungshalbleiter schiitzen. Das Grenzlastinte- schichttemperatur Syj von 125 °C zulassig.


gral der Sicherung muB groBer sein als das des Beim Betrieb im 50 Hz-Netz iiberwiegen die
Halbleiters. Unter dem Grenzlastintegral W DurchlaBverluste Pj. Bei hoheren Schaltfre-
versteht man das Warmespeichervermogen im quenzen sind auch die Einschalt-, Ausschalt-
Zeitbereich bis 10 ms. Bei langer andauerndem und die Steuerverluste zu beriicksichtigen. P^^^
Uberstromen kann eine Sicherung einen Halb- stellt dann die Summe der Verluste dar. Die
leiter nicht schiitzen, da deren Schmelzzeit- Verlustwarme in der SiHciumtablette muB
kennhnien oberhalb 10 ms zu sehr voneinander iiber das Gehause in den Kiihlkorper an das
abweichen. In diesem Falle muB eine Strom- Kiihlmedium (z.B. Luft oder Wasser) abgege-
regelung oder Begrenzung eingreifen. ben werden. Zwischen der Verlustleistung Pt^t*
Wird ein Thyristor geziindet, so sind zuerst die der zulassigen Sperrschichttemperatur ^yj >
Zonen in der Nahe des Gateanschlusses leitend. der Kuhlmitteltemperatur ^^ und den Warme-
Bis die ganze Thyristortablette leitet, vergehen iibergangswiderstanden R^^^ besteht die Bezie-
einige Mikrosekunden, da die Ausbreitungs- hung:
geschwindigkeit der Gatestromladungstrager
etwa 0,1 mm/|is betragt. Wahrend dieser Zeit ist
die Stromanstiegsgeschwindigkeit des Laststro-
mes zu begrenzen, um orthche Uberhitzungen
der Thyristortablette zu verhindern. Der Strom-
anstieg wird durch Induktivitaten in Reihen- In Analogic zum elektrischen Stromkreis kann
schaltung zum Thyristor begrenzt. man ein Warmeersatzschaltbild erstellen, das in
Bild 5-9 dargestellt ist.
Beispiel
5-3: Ein Thyristor der Testschaltung (Bild 5-3) habe
eine zulassige Stromanstiegsgeschwindigkeit von
100 A/jiis. Die Netzspannung sei 230 V bei 50 Hz. Im
Augenblick des Spannungsmaximums trete ein satter
KurzschluB auf. Wie groB muB die Induktivitat L
t?„i

0
Losung: Bild 5-9. Warmeersatzschaltbildfur den thermischen
Der Spannungsabfall an der Induktivitat berechnet Beharrungszustand.
sich zu: WL= Ldi/dt. Das Spannungsmaximum U ist
t7 = 230 ¥ ^ 5 = 325 V. Die Warmequelle 2w git)t die Verlustleistung
Mit uL — 1/ berechnet sich die gesuchte Induktivitat L Ptot ab. Pthjc ist der Warmeiibergangswider-
zu: L= uj{di/dt) = 325 V/100 A/^is = 3,25 ^iH. stand zwischen den p-n-Schichten und dem
Thyristorgehause, i^thCK zwischen Gehause und
5.1.4 Kiihlung Kiihlkorper, i^thKA zwischen Kiihlkorper und
Kiihlmedium. Syj ist die Sperrschichttempe-
Ist ein Leistungshalbleiter geziindet worden, so ratur, ^Q die Gehausetemperatur, I9K die Kiihl-
tritt im DurchlaBbereich ein Spannungsabfall korpertemperatur und ^^ die Kuhlmitteltem-
Uj von 1,5 V bis 2 V am Halbleiter auf. In Ver- peratur.
bindung mit dem DurchlaBstrom ij entsteht
eine Verlustleistung Pj von Beispiel
5-4: Gegeben sei die Testschaltung (Bild 5-3). Die
I T*
Netzspannung betrage 230 V bei 50 Hz, der Last-
(5-3) widerstand sei 10 Q, die Kiihlmitteltemperatur nach
i 0
DIN 41786 ist 40 °C, der Warmeiibergangswiderstand
des Thyristors i^t^jc = ^'^ K/W, i^^^cK sei 10% von
wobei T die Periodendauer und T* die Integra- ^thJC' ^i^ Schleusenspannung betrage 1,5 V und der
tionsgrenze ist. Infolge der Verlustleistung Pj Ersatzwiderstand r sei 0,1 Q. Gesucht ist der Warme-
erhoht sich die Temperatur in den p-n-Sperr- iibergangswiderstand des benotigten Kiihlkorpers,
schichten. Im Dauerbetrieb ist eine Sperr- wenn der Thyristor dauernd geziindet ist.
5.1 Thyristor 237

Losung:
Fiir die Verlustleistung Pj nach Gl. (5-3) gilt -:M-
-d^
^tot = ^T = - j % ij dt
1 0 •d^ -d^
J 10 ms

1 0
Fiir den Strom i gilt: ^
230 VV2 / 1
ij = / s i n ( 2 7 c / ) t •- : ^^^sin 314-r
10 Q I s
Die Integrationsgrenze T* geht von 0 bis 10 ms, da Bild 5-11. Drehstrombmckenschaltung mit Gleich-
nur in dieser Zeit ein Strom im Thyristor fliefit. Mit strommotor.
Gl. (5-4) berechnet sich der gesuchte Warmeiiber- a = 30'
gangswiderstand zu

R., R. i^thCK = l , 5 K / W .
PT

5.1.5 Spannungssteuerung mit Thyristoren


Wenn in der Testschaltung in Bild 5-3 der
Ziindimpuls IQ um den Winkel a gegeniiber
dem Nulldurchgang der Thyristorspannung u
verzogert wird, kann der Laststrom I^ verrin-
gert werden (Bild 5-10).

'G*

Bild 5-10. Spannungssteuerung durch Zundverzoge-


rung.

Die Steuerung, auch Phasenanschnittsteuerung


genannt, ist nur wahrend der positiven Halb-
welle moglich. Wahrend der negativen Halb-
welle sperrt der Thyristor wie eine Diode. Die
Netzspannung teilt sich auf in die schraffierte
Teilspannung, die an dem Thyristor abfallt und
in die rote Teilspannung an der Last R. Die
Testschaltung stellt eine steuerbare Einweg-
gleichrichterschaltung dar. Sie belastet das Netz Bild 5-12. Ausgangsspannung u^ bei verschiedenen
unsymmetrisch, und der Laststrom ist stark ZUndverzogerungen a.
238 5 Bauelemente der Leistungselektronik

oberwellenhaltig. In der Praxis wird daher hau- Wechselrichterbetrieb ist nur moglich, wenn
fig die Drehstrombriickenschaltung verwendet. man die Gleichstrommaschine als Generator
Bild 5-11 zeigt eine Schaltung zur Steuerung betreibt. Fur die Drehzahlregelung eines
eines Gleichstrommotors. Gleichstrommotors, der aus dem Drehstrom-
Die Spannung u^ ist der zeitliche Augenblicks- netz gespeist wird, eignet sich eine Schaltung
wert der ungeglatteten Stromrichterspannung, nach Bild 5-13.
l7dAv ^^^ zugehorige Spannungsmittelwert. Zur Die Schaltung stellt eine Drehzahlregelung mit
Herabsetzung der Restwelligkeit des Stromes unterlagerter Stromregelung dar und wird
wird bei Motorantrieb eine Glattungsinduktivi- Stromleitverfahren genannt. Die AusgangsgroBe
tat in Reihe zum Motor geschaltet. Bedingt des Drehzahlreglers entspricht dem Sollwert
durch die Ventilwirkung der Thyristoren kann des Motorstromes. Die AusgangsgroBe des
der Laststrom ij nicht negativ werden. Wird der Stromreglers entspricht dem Ziindverzoge-
Strom ij konstant gehalten (dies ist durch spe- rungswinkel a. Der Ziindsteuersatz steuert die
ziellen Betrieb der Gleichstrommaschine mog- 6 Thyristoren der Drehstrombriickenschaltung.
lich), so ergeben sich die in Bild 5-12 dargestell- Uber die Tachomaschine wird der Drehzahl-
ten Ausgangsspannungen bei verschiedenen Istwert zuriickgefuhrt und mit dem Sollwert
Zundverzogerungswinkeln a. verglichen.
Die diinn gezeichneten Kurven stellen die Netz-
spannungen dar. Ohne Ziindverzogerung, d. h.
fur a = 0, wirkt die Schaltung wie eine Gleich-
5.2 Triac
richterschaltung mit 6 Dioden. Jeder Thyristor Ein Triac ist ein Leistungshalbleiter, der die-
muB genau in dem Zeitpunkt geziindet werden, selbe Funktion hat wie zwei antiparallele Thyri-
wenn sich die Kurven zweier Netzspannungen storen. Man bezeichnet ihn auch als bidirektio-
schneiden. Dieser Punkt heiBt naturlicher Zund- naler Thyristor. Der Triac ist in der Lage, in
zeitpunkt. Die entstehende Gleichspannung u^ einem Wechselstromkreis sowohl die positive
ist in Bild 5-12 rot eingezeichnet. Fiir a von als auch die negative Stromhalbwelle zu
0° bis 90° ist der arithmetische Mittelwert der steuern. Der Triac fmdet als kontaktloser Schal-
Gleichspannung positiv; die Energie flieBt vom ter und als Steller im Wechsel- und Drehstrom-
Drehstromnetz iiber den Stromrichter zum netz Verwendung.
Motor. Diesen Betriebszustand bezeichnet man
als Gleichrichterbetrieb, Bei a = 90° ist der Mit-
telwert der Spannung C/dAv i^^l^- ^^i ^ zwischen
5.3 Abschaltthyristor (GTO)
90° und 180° ist der Mittelwert der Gleich- 1st ein Thyristor gezundet, so kann der Strom
spannung negativ, die Energie flieBt jetzt von nicht mehr iiber das Gate abgeschaltet werden.
der Gleichstrommaschine iiber den Stromrich- Um in einem Gleichstromkreis den Strom ein-
ter in das Drehstromnetz, d. h. die Anlage arbei- und ausschalten zu konnen, entwickelte man
tet als Wechselrichter. ein Bauelement, bei dem der Thyristor zusatz-

Drehzahl-Sollwert Netz

Drehzahl- Strom- Steuer- Thyristor-


regler regler satz satz

Strom-lstwert ^Gleichstrom-
wandler
-Strombegrenzung
Drehzahl-lstwert rGleichstrom-
-<!> ' motor
Tacho-
maschine

Bild 5-13. Drehzahlregelantrieb nach dem Stromleitverfahren.


5.4 Insulated-Gate-Bipolar-Transistor (IGBT) 239

lich durch einen negativen Gatestrom geloscht ideale Sinuskurve erreicht. Bild 5-15 verdeut-
werden kann. Mit einem G T O laBt sich daher licht diesen Vorgang. Der schraffierte Bereich
ein sehr einfacher Gleichstromsteller aufbauen. kennzeichnet den Einschaltzustand des G T O .
Diesem Vorteil steht der Nachteil gegeniiber,
daB der negative Gatestrom etwa 30% des ab-
zuschaltenden Stromes betragen muB, der 5.4 Insulated-Gate-Bipolar-
Schichtaufbau kompliziert und daher teuer ist Transistor (IGBT)
und eine aufwendige Schutzbeschaltung beno-
tigt wird. Haupteinsatzgebiet fur den G T O ist Eine Kombination der MOS-Technologie und
der Frequenzumrichter zur verlustarmen Dreh- der des bipolaren Transistors stellt der IGBT
zahlsteuerung von Asynchronmotoren bei Lei- dar. Zum Ein- und Ausschalten sind nur klein-
stungen iiber 100 kW, da bei Drehstrommoto- ste Steuerleistungen erforderHch, wahrend der
ren die Drehzahl etwa proportional zur Fre- DurchlaBwiderstand sehr gering ist. Durch
quenz ist. Eine Standardschaltung eines Um- hohe Schaltfrequenzen, die oberhalb des Hor-
richters mit G T O zeigt Bild 5-14. bereichs liegen, sind die Gerausche niedrig, und
Glattungsinduktivitaten konnen klein gehalten
Gleich- Konstant- Pulswechselrichter Asynchron-
werden. Fur kleinere Leistungen (maximal kW-
richter Spannungs- (PWR) motor Bereich) werden auch MOSFET-Leistungstran-
Zwischenkreis (ASM)
sistoren eingesetzt (Abschn. 3.4.9 und 3.4.10).
Netz

Zur Ubung
L3^
U 5-1: Ein Drehstrombriickengleichrichter werde
von einem Drehstromnetz, 3 ^ 400 V, 50 Hz gespeist.
Bild 5-14. Leistungsteil eines GTO-Frequenzumrich- Der Laststrom sei stets positiv. Berechnen Sie mit
ters. Hilfe von Bild 5-12 den Mittelwert der Gleichspan-
nung L/dAv fur a = 0°, 30°, 60°, 90° und 120°, wenn der
Spitzenwert der Wechselspannungskurven in Bild
Die Schaltung wird aus dem 50 Hz-Drehstrom- 5-12 gleich 400 • ^ 2 V ist. Zeichnen Sie die Funktion
netz gespeist. Uber einen ungesteuerten Gleich- ^dAv = f{^) und geben Sie die mathematische Funk-
richter erzeugt man eine Gleichspannung im so- tion zwischen I/^AV und a an.
genannten Zwischenkreis. Die GTO-Thyristo-
ren wirken als elektronische Schalter und schal- V 5-2: Bei einem Ausschaltvorgang nach Bild 5-7 ist
die Stromanderung im Punkt ^2 = 1000 A/|xs. Die In-
ten den Gleichstrom in den drei Motorphasen duktivitat im Lastkreis betragt 3 iiH. Wie groB ist die
so, daB ein Drehfeld im Motor entsteht. Mit entstehende induktive Spannungsspitze?
Hilfe einer Pulsbreitenmodulation nahert man
die Motorstrome der Sinusform an. Hierbei U 5-3: Es sind eine Stromrichterschaltung zu projek-
wird ein G T O wahrend einer Halbwelle mehr- tieren und die wichtigen elektrischen Daten zu be-
rechnen. Die Schaltung ist an das 230 V-Einphasen-
fach geziindet und geloscht. Je hoher die Modu-
netz anzuschlieBen. Zur Verfiigung stehen Thyristo-
lationsfrequenz sein kann, desto mehr wird die ren mit Kiihlkorper mit einem Dauergrenzstrom
/xAVM von 5 A, die Spitzensperrspannung sei 800 V,
die Last rein ohmisch. a) Berechnen Sie nach Bild 5-16
die zugehorigen Mittelwerte von Strom und Span-
0^ nung an der Last, b) Berechnen Sie den Spannungssi-
iMi K cherheitsfaktor S.
m K
NK
pp % /

m M
m Wfl
H m
DMD
Bild 5-15. Pulsbreitenmodulation zur Erzeugung ei-
ner sinusformigen Ausgangsspannung aus einer Gleich-
spannung (Prinzip). Bild 5-16. ZuU5-3.
240 6 Optoelektronik

6 Optoelektronik kelt, bei denen sowohl optische als auch elek-


tronische Bauteile in einem Chip integriert sind.
Die zur Anwendung kommende optische Strah-
6.1 Einleitung lung liegt entweder im sichtbaren (Wellenlangen
von 380 nm bis 780 nm) oder im angrenzenden
Die Optoelektronik ist das Teilgebiet der Elek- nahen und mittleren infraroten (IR) bzw. ultra-
tronik, das sich mit der Umwandlung von opti- violetten (UV) Spektralbereich. Bild 6-2 zeigt
schen Signalen in elektrische und umgekehrt so- eine Ubersicht iiber die Spektralgebiete (nach
wie mit ihrer Koppelung befaBt. Dieser Zusam- DIN 5031). Angegeben sind sowohl die Wellen-
menhang ist in Bild 6-1 dargestellt; hierbei sind lange der Strahlung als auch die zugehorige
die wichtigsten Bauelemente genannt. Photonenenergie E^^.
Eingang Wandler Ausgang
Der Zusammenhang zwischen der Energie eines
Lichtquants oder Photons E^^ und der Wellen-
elektrisches optisches
Sender
lange X bzw. der Frequenz / der Strahlung ist
Signal
Lumineszenzdioden
Signal nach Einstein (A.EINSTEIN, 1879 bis 1955)
Laserdioden

Anzeigeeinheiten
E^i, = hf=hc/X; (6-1)
Bildwiedergabe
c ist die Lichtgeschwindigkeit, h die Plancksche
optisches elektrisches Konstante. Werden fiir h und c die bekannten
Empfanger
Signal Signal Werte eingesetzt, so resultiert aus Gl. (6-1) fol-
Fotowiderstand gende Beziehung, die in der Praxis haufig be-
Fotodiode (pin, APD)
Fototransistor nutzt wird:
Fotothyristor
Solarzelle
£_h = 1,24 |im eV/2 . (6-2)
Bildaufnahme
CCD
coZ
c to
CO Q . Si <,
"O TO
NO
Optokoppler I I I I I I I
elektrisches
1,5 0,7 i^ph/eV
elektrisches I
—J—I ni I
Signal Signal V b gr go r
Sender j Empfanger nahes mittleres
UV
It Ml nahes IR
IR
sichtbares Licht
, I TI." 111 . 1
elektrisches 300 500 1000 1 500 2000 X/nm
Sender
Signal jLWLdichtwellenleiter) Bild 6-2. Wellenldnge und Photonenenergie optischer
^---^ Strahlung mit den Bandabstdnden gebrduchlicher Halb-
^ leiter (v: violett, b: blau, gr: grtin, g: gelb, o: orange,
elektrisches
V^WV^ Empfanger
Signal
r: rot).

Bild 6-2 zeigt fur einige Halbleiter auch die


Bild 6'i. Optoelektronische Wandler. Breite der verbotenen Zone, das Energiegap E^.
Wie nachfolgend noch erlautert wird, ist diese
Aufgrund der vorteilhaften Eigenschaften der GroBe von grundlegender Bedeutung fur die
Festkorperbauelemente, wie z. B. kleine Bau- Optoelektronik. Sie entspricht namhch unge-
form, mechanische Robustheit, hohe Lebens- fahr der Energie der Photonen, die von Halblei-
dauer und niedrige Betriebsspannung, stellt tersendern emittiert werden. Ebenfalls gibt sie
man die Wandler iiberwiegend aus Halbleitern die Mindestenergie eines Photons an, damit es
her. In diesem Buch ist deshalb fast ausschlieB- in einem Halbleiterempfanger absorbiert wird.
lich die Halbleiteroptoelektronik beschrieben.
Stimuliert durch die Erfolge bei der Integration Beispiel
elektronischer Bauelemente zu komplexeren 6-1 Das Bandgap des Halbleiters GaP betragt
Systemeinheiten, wurden auch bereits inte- E,g — 2,24 eV. Wie groB ist die Wellenlange der Strah-
grierte optoelektronische Schaltungen entwik- lung, deren Photonen diese Energie haben?
6.2 Radiometrische und photometrische GroBen 241

Losung: oder lichttechnischen GroBen. Die Formelbuch-


Nach Gl. (6-2) gilt A = 1,24 ^im eV/2,24 eV = 0,554 ^im staben dieser GroBen erhalten den Index „v"
= 554 nm. Die Strahlung liegt also nach Bild 6-2 im (visuell). Lichttechnische GroBen sind naturge-
griinen Spektralbereich. maB nur fiir sichtbares Licht definiert.

6.2.1 Radiometrische GroBen


6.2 Radiometrische und foto- Die in DIN 5031 definierten strahlungsphysika-
metrische GroBen lischen GroBen sind in Tabelle 6-1 zusammen-
gestellt. Einige der angegebenen Beziehungen
Radiometrische oder strahlungsphysikalische gelten nur fur den Fall, daB der Abstand zwi-
GroBen beschreiben die Eigenschaften eines schen Sender und Empfanger groBer ist als die
Strahlungssenders bzw. -empfangers. Die in fotometrische Grenzentfernung. Diese soil min-
Abschn. 6.2.1 definierten GroBen werden mil destens das Zehnfache der groBten Querdimen-
objektiven MeBgeraten bestimmt; ihre Formel- sionen von Sender bzw. Empfanger betragen.
buchstaben erhalten nach DIN 5031 den Index Ist diese Beziehung nicht erfullt, miissen die Be-
„e" (energetisch). ziehungen differentiell formuliert und dann
Wird der Eindruck einer Strahlung auf das iiber Sender- und Empfangerflache integriert
menschliche Auge mit seiner charakteristischen werden.
wellenlangenabhangigen Empfindlichkeit be- Der Begriff des Raumwinkels, der in Tabelle 6-1
schrieben, dann spricht man von fotometrischen aufgefiihrt ist, wird anhand von Bild 6-3 erlau-

Tabelle6-1. Strahlungsphysikalische GroBen.

GroBe Symbol Einheit Beziehung Erklarung

Strahlungsenergie Ge Ws e , = l4>dt Durch elektromagnetische Strahlung


bzw. -menge ubertragene Energie.

Strahlungsleistung ^e W *e = dQJdt Mit der Strahlung ubertragene Leistung.


bzw. -flu6

senderseitige GroBen

spezifische Me W/m^ M, = #,Mi Auf die Senderflache bezogene Strah-


Ausstrahlung lungsleistung des Senders.

Strahlstarke ^e W/sr h = *e/" Quotient aus dem in einer bestimmten


Richtung vom Sender ausgehenden
StrahlungsfluB und dem durchstrahlten
Raumwinkel.

Strahldichte K W/m^ sr i . le Quotient aus Strahlstarke und Projek-


tion der Senderflache auf eine Ebene
senkrecht zur betrachteten Richtung.

empfangerseitige GroBen

Bestrahlungs- K W/m^ £e = *eM2 Auf einen Empfanger fallende Strah-


starke lungsleistung, bezogen auf die Empfan-
gerflache.

Bestrahlung H^ Ws/m^ H, = j £ , d £ Quotient aus auftreffender Strahlungs-


energie und Empfangerflache.
242 6 Optoelektronik

A2 COS 69
(6-4)

Flache/4 Wird mit Hilfe der in Tabelle 6-1 angegebenen


GroBen die Leistung berechnet, die in einer An-
ordnung nach Bild 6-4 vom Sender auf den
Empfanger fallt, so ergibt sich das fotometrische
Grundgesetz

^ i C O S e ^ A2COS82
^. = U (6-5)
Bild 6-3. Zur Definition des Raumwinkels.

Fur die Bestrahlungsstarke E^ auf der Empfan-


tert: Wird um einen strahlenden Punkt P eine
geroberflache folgt das fotometrische Entfer-
Kugel mit Radius r beschrieben und wird auf
nungsgesetz
der Kugeloberflache eine Figur mit der Flache
A bestrahlt, so sagt man, die Strahlung trete in
den Raumwinkel Q = A/r^ aus. Die SI-Ma6ein- EQ = — ^ cos 82 UQ . (6-6)
heit des Raumwinkels ist der Steradiant:
1 sr = 1 m^/m^. Der Ubersichtlichkeit wegen
schreibt man meist Die von einem Detektor aufgenommene Strah-
lungsleistung ^^ und die Bestrahlungsstarke E^
nehmen also umgekehrt proportional zum
Quadrat des Abstandes r zum Sender ab.
Da im allgemeinen die strahlungsphysikah-
schen GroBen eine Abhangigkeit von der Wel-
mit QQ = 1 sr.
lenlange aufweisen, laBt sich fur jede in Tabelle
Der groBte Raumwinkel betragt 4n sr, wenn die 6-1 aufgefuhrte GroBe X^ eine spektrale GroBe
Strahlung den voUen Raum erfiillt. Strahlt ein Xg ;^ angeben, die folgendermaBen defmiert ist:
Sender nur in den Halbraum, so ist der Raum-
winkel 27csr. Wenn Sender- und Empfanger- X,^, = dXJdA. (6-7)
flache nach Bild 6-4 angeordnet sind, dann er-
fuUt die Strahlung, die von der Senderflache A^
ausgehend die Empfangerflache A 2 trifft, den So ist beispielsweise die spektrale Strahldichte
Raumwinkel Lg ;^ = dLg/dA, gemessen in W/(m^ sr nm).
Die spektralen GroBen werden experimentell
mit Hilfe eines Spektrometers bestimmt. Aus
dem gemessenen Verlauf der spektralen GroBe
X^j^{X) kann die zugeordnete GroBe X^ durch
Integration iiber den Wellenlangenbereich, in
dem die Strahlung auftritt, berechnet werden:

X , = jX,,,(A)dA. (6-8)

6.2.2 Fotometrische GroBen


Betrachtet ein Beobachter eine rote LED
(A = 660 nm) und eine grune LED (A = 560 nm),
dann erscheint die griine LED etwa 16mal hel-
Bild 6-4. Strahlenkegel, der vom Sender auf den ler als die rote, falls die gemessenen Strahlungs-
Empfanger fdllt. leistungen gleich sind. Der unterschiedUche
6.2 Radiometrische und photometrische GroBen 243

visuelle Eindruck entsteht dadurch, daB das 1st die Strahlung nicht monochromatisch, son-
menschliche Auge nicht fiir alle Wellenlangen dern spektral breitbandig, dann muB fiir die
des Lichts gleich empfindlich ist. Der Hellemp- Berechnung des Lichtstroms iiber das sichtbare
flndlichkeitsgrad V{X) eines Standardbeobach- Spektrum integriert werden:
ters wurde von der Comission International
780 nm
d'Eclairage (CIE) aufgenommen und ist in D I N
5031 festgelegt. Bild 6-5 zeigt V{X) fur Tages- = K^ 0e, xWV(X)dX. (6-10)
380 nm
sehen, wo die Zapfen der Netzhaut aktiv sind
(sog. fotopische Anpassung). Nach dem Muster der Gleichungen (6-9) und
(6-10) zur Berechnung des Lichtstroms (P^ aus
dem StrahlungsfluB (P^ kann fur jede radiome-
trische GroBe X^, die in Tabelle 6-1 defmiert ist,
die zugeordnete fotometrische GroBe X^ be-
rechnet werden:

780 nm
X. = K^ I X,,,(A)F(A)dA. (6-11)
380 nm

Die Bezeichnungen der lichttechnischen Gro-


Ben sind zusammen mit ihren MaBeinheiten in
Tabelle 6-2 zusammengestellt.
400 500 600 700
Wellenlange X/nm Tabelle 6-2. Fotometrische GroBen.
Bild 6-5. Hellempfindlichkeitsgrad des menschlichen
Auges: schwarz: lineare Skala, rot: logarithmische Benennung Zeichen MaBeinheit
Skala.
Lichtmenge Q. Im s
Der Helligkeitseindruck hangt also nicht nur Lichtstrom ^v Im
spezifische
von der Strahlungsleistung ab, die ins Auge fallt,
Lichtausstrahlung ^^v Im/m^
sondern auch vom Hellempfindlichkeitsgrad
Lichtstarke K cd = Im/sr
V{X) des Auges fiir die betreffende Wellenlange. Leuchtdichte L, cd/m^
Der Lichtstrom <P^ ist ein MaB fur die Hellig- Beleuchtungsstarke E^ Ix = Im/m^
keitsempfmdung. Fiir monochromatisches Licht Belichtung H^ Ix s
gilt bei fotopischer Anpassung:
Die MaBeinheiten der Uchttechnischen GroBen sind
^^ = K^0,VW. (6-9) mit der SI-Einheit der Lichtstdrke 1 cd (Candela) ver-
knupft. Die Candela ist die Lichtstarke einer Strah-
Die Konstante K^ wird als Maximalwert des lungsquelle, die monochromatische Strahlung der
fotometrischen Strahlungsdquivalents bezeich- Frequenz 540 • 10^^ Hz in eine bestimmte Richtung
net. Sie ist eng verknupft mit der SI-Basiseinheit aussendet, und deren Strahlstarke in dieser Richtung
fur die Lichtstdrke, der Candela und betragt /g = 1/683 W/sr betragt. Licht mit dieser Frequenz
hat die Wellenlange A = 555 nm. Der Hellempfind-
K^ = 683 Im/W (Lumen/Watt).
lichkeitsgrad betragt hier F(555 nm) = 1. Nach Gl.
(6-11) gih fur die Lichtstarke I^ = K^I^-\ = \ cd.
Beispiel
Hieraus folgt K^ = 683 cd sr/W = 683 Im/W.
6-2: Eine rote und eine griine LED emittieren Licht Als abgeleitete Einheiten sind fiir den Lichtstrom das
bei k = 660 nm bzw. X — 560 nm. Wie groB sind die Lumen (1 Im = 1 cd sr) und fiir die Beleuchtungs-
Lichtstrome, falls beide Dioden dieselbe Strahlungs- starke das Lux (1 Ix = 1 Im/m^) eingefuhrt.
leistung (Pg = 10 }xW abgeben?
Bei Empfindlichkeitsangaben von Fotodetek-
Losung: toren wird haufig Bezug genommen auf die Be-
Die HellempfindUchkeitsgrade betragen V (660 nm) leuchtung mit Normlicht A. Nach D I N 5033
: 0,061 und F(560nm): 0,995. Nach Gl. (6-9) wird wird dies dadurch realisiert, daB eine gasgefiillte
^v, rot = 0,417 mlm und ^^ g,^ 6,8 mlm. Wolfram-Gliihlampe bei der Verteilungstempe-
244 6 Optoelektronik

ratur 7^ = 2856 K betrieben wird (das Spektrum


entspricht dann etwa dem eines schwarzen
Strahlers der angegebenen Temperatur). Einer
Beleuchtungsstarke von E^ = l\x dieser Lichtart E I
I
entspricht die Bestrahlungsstarke Eg ^ 6 • 10~^
W/m^. E, Q- -Q- _(2).
-Q-

6.3 Halbleiter-Sender
6.3.1 Strahlungsemission aus Halbleitern f
In Halbleitern sind die moglichen Energiezu- "55 ©—sr
a) b) c) d) e) f)
stande der Elektronen in Bandern angeordnet
Bild 6-6. Rekombinationsprozesse in Halbleitern.
(Abschn. 1.8.2). Im thermodynamischen Gleich-
Strahlende Ubergdnge: a) Band-Band, b) Donator-
gewicht befindet sich stets eine bestimmte An- Valenzband, c) Leitungsband-Akzeptor, d) Paar-
zahl von Elektronen im energetisch hohergele- Ubergang. Nichtstrahlende Ubergdnge: e) Uber tiefe
genen Leitungsband und eine entsprechende Storstellen, f) Auger-Effekt.
Anzahl von Lochern im tieferliegenden Valenz-
band. Durch Energiezufuhr (z. B. thermisch) letzen wiirde. Die Rekombination ist nur moglich
werden standig Elektronen vom Valenz- ins unter Zuhilfenahme von Phononen. Das sind Gitter-
Leitungsband gehoben {Generation von freien schwingungen, die den fehlenden Impulsbetrag zwi-
schen Elektron und Loch aufbringen konnen. Aus
Elektron-Loch-Paaren); zugleich findet auch
diesem Grund ist die Wahrscheinlichkeit fiir die strah-
fortwahrend der umgekehrte ProzeB statt, wo- lende Rekombination sehr gering. In den direkten
bei Elektronen unter Energieabgabe vom Lei- Halbleitern (z. B. GaAs, In?) haben Elektronen und
tungs- ins Valenzband iibergehen. Bei dieser Re- Locher denselben Impuls und es gibt kein Verbot der
kombination eines Elektrons aus dem Leitungs- strahlenden Rekombination. Diese Substanzen sind
band mit einem Loch aus dem Valenzband wird also geeignet zur Herstellung von Sendern.
im Kristall eine vorher offene Bindung wieder Bild 6-6 zeigt auch zwei Moglichkeiten der nicht
restauriert. Wird die Energie, die dabei frei wird strahlenden Rekombination, und zwar im Bild 6-6 e
als Lichtquant abgegeben, so spricht man von iiber tiefe Storstellen (traps), wobei die Energie an
Gitterschwingungen abgegeben wird und bei Bild
strahlender Rekombination. Als Konkurrenz-
6-6 f iiber den Auger-Effekt. Hierbei wird die bei der
prozeB findet auch die nicht strahlende Rekom- Rekombination eines Elektrons mit einem Loch frei-
bination statt, bei der diefi*eiwerdendeEnergie werdende Energie an ein zweites Elektron abgegeben,
letztendlich in Warme (Gitterschwingungen) das sich bereits im Leitungsband befindet und durch
umgesetzt wird. die Energiezufuhr hoch ins Band gehoben wird, also
Die Rekombination ist meist recht verwickelt. eine groBe kinetische Energie erhalt. Durch Zusam-
Bild 6-6 zeigt einige Wege auf, iiber die ein Elek- menstoBe wird diese Energie wieder an das Gitter
abgegeben, und das Elektron thermalisiert wieder zur
tron mit einem Loch rekombinieren kann. In
Bandkante (gestrichelt). Derselbe Vorgang ist auch
alien Fallen der strahlenden Rekombination mit Lochern im Valenzband mdghch.
(Bild 6-6 a bis d) entspricht die Energie der aus-
gesandten Photonen naherungsweise der Breite Da nach Gl. (6-12) die Photonenenergie (und
der verbotenen Zone: damit die Wellenlange bzw. die Farbe des
Lichts) von der Breite des Energiegaps abhangt,
-ph E^-Ey = E^ (6-12) kann die Farbe des Rekombinationslichts
durch die Wahl des Halbleitermaterials be-
Nicht alle Halbleitermaterialien kommen als Licht- stimmt werden. Von besonderem Interesse sind
sender in Frage, und zwar deshalb, weil in manchen Mischkristalle, die durch die Wahl des Mi-
Substanzen die Rekombination vorwiegend nicht schungsverhaltnisses eine freie Einstellung der
strahlend ablauft. So haben beispielsweise in den klas-
sischen Halbleitern Si und Ge die Elektronen an der
Photonenenergie innerhalb gewisser Grenzen
Leitungsbandkante einen Impuls, der verschieden ist zulassen. So kann beispielsweise der ternare
vom Impuls der Locher an der Valenzbandkante. Bei Mischkristall GaAs^ _^ P^ je nach Wahl des Mi-
diesen sog. indirekten Halbleitern ist die Rekombina- schungsparameters x jedes Bandgap zwischen
tion verboten, weil sie den Impulserhaltungssatz ver- £g = 1,43 eV (x = 0, GaAs) und E^ = 2,26 eV
6.3 Halbleiter-Sender 245

(x = 1, GaP) einnehmen. Die zugehorigen


Emissionswellenlangen liegen dann zwischen ^^^^-^OeGQQQQQ
X = 870 nm (IR) und k = 550 nm (griin). Bild 6-2 n-Gebiet
zeigt die Bandabstande und zugehorigen Wel- hf
lenlangen einiger Halbleiter im Vergleich zur
Lage des sichtbaren Spektralgebiets.
Um in einem Halbleiter eine hohe Rekombina-
tionsrate und damit einen groBen PhotonenfluB
zu erhalten, muB die Dichte der Elektronen im Bild 6-7. Bandstruktur einer in Durchlafirichtung be-
Leitungsband und die Dichte der Locher im triebenen Lumineszenzdiode.
Valenzband iiber die Gleichgewichtsdichten n^
bzw. po hinaus vergroBert werden. Die Erho-
hung der Tragerdichten um An geschieht durch einem pn-Ubergang (Abschn. 1.8.7). Bild 6-7
Energiezufuhr von auBen. Beispielsweise wer- zeigt die Bandstruktur eines pn-Ubergangs, der
den bei der Lumineszenzdiode iiber den pn- in FluBrichtung betrieben wird. Bei der FluB-
Ubergang hinweg Elektronen ins p-Gebiet so- spannung l/p wird die Diffusionsspannung so-
wie Locher ins n-Gebiet injiziert. weit abgebaut, daB die Elektronen des n-Ge-
Die zeitliche Veranderung der UberschuBdichte biets iiber die kleine Barriere leicht ins p-Gebiet
An wird durch folgende Differentialgleichung diffundieren konnen; umgekehrt flieBen Locher
beschrieben (Abschn. 1.8.6.1): aus dem p- ins n-Gebiet. Durch diese Injektion
der Ladungstrager iiber den pn-Obergang hin-
weg wird auf jeder Seite die Minoritatstrager-
dichte stark erhoht, was zu einer kraftigen Zu-
nahme der Rekombinationsprozesse fiihrt. Sind
die Rekombinationen vorwiegend strahlend,
Hierbei ist g die Generationsrate und r die dann entsteht Lumineszenzstrahlung in der
Rekombinationsrate der Ladungstrager. Bei Nahe des pn-Ubergangs, wobei die Photonen-
schwacher Anregung kann angenommen wer- energie nach Gl. (6-12) etwa der Energie des
den, daB die Rekombinationsrate proportional Bandgaps entspricht.
ist zur UberschuBdichte: r ^ An. Wird die von
auBen aufgezwungene Generation von La-
dungstragern abgestellt [g = 0), dann sorgt die Kennwerte
Rekombination der Ladungstrager dafiir, daB Tabelle 6-3 zeigt die Zusammensetzung sowie
sich nach einiger Zeit wieder die Gleichgewichts- einige Eigenschaften gangiger LED. Der ex-
dichten einstellen. Fiir den zeitlichen Verlauf terne Quantenwirkungsgrad rj^^^ gibt an, welcher
folgt aus Gl. (6-13): d (An)/dr = - An/r. Die Bruchteil der Rekombinationsprozesse in der
Losung dieser Differentialgleichung lautet (Bild Diode zu Photonen fiihrt, die auBerhalb der
1-71): Diode nachweisbar sind. Der Photonenstrom
kann aus der Strahlungsleistung (p^ bestimmt
An {t) = Ano e-t/T
(6-14) werden: Nph = ^e/^ph- Die gesamte Rekombi-
nationsrate R laBt sich aufgrund der Tatsache
T ist die Lebensdauer der Teilchen im angereg- berechnen, daB jedes Elektron, welches vom
ten Energieniveau. Sie kann experimentell be- auBeren Stromkreis in die n-Seite der Diode
stimmt werden, indem der Halbleiter mit gepul- flieBt, irgendwann einmal rekombinieren muB.
stem Licht beleuchtet und die Anderung des Damit gilt R = I^/e und
ohmschen Widerstandes als Funktion der Zeit
gemessen wird.

6.3.2 Lumineszenzdioden
Unter Lichtausbeute wird das Verhaltnis von
Wirkungsweise
ausgesandtem Lichtstrom (P^, bezogen auf die
Lumineszenz- oder Leuchtdioden (LED, Light aufgewandte elektrische Leistung (7F/F, ver-
Emitting Diode) bestehen ublicherweise aus standen:
246 6 Optoelektronik

Tabelle 6-3. Kennwerte verschiedener Lumineszenzdioden.

Material: Farbe Wellen- externer Licht- FluB-


Dotierstoff lange X Quanten- ausbeute / spannung JJ^
wirkungs-

m nm in% in Im/W in V

GaAs : Si IR 930 12 - 3 0 _ 1,3


GaP:Zn,0 rot 690 4 -15 0,2-0,8 1,6
GaAsQ gPo^4 rot 650 0,2- 0,5 0,1-0,4 1,8
GaAso,35Po,65: N orange 630 0,4- 0,6 0,7-1,1 2,0
GaAso,i5Po,85-N gelb 590 0 , 1 - 0,3 0,5-1,5 2,2
GaP:N grun 570 0 , 1 - 0,7 0,7-4,5 2,4
SiC:Al,N blau 470 0,05 0,03 4
GaN: Zn blau 440 0,1 0,02 4,5

Beispiel
6-3: Eine rote LED emittiert bei A = 660nm. Die
Strahlungsleistung betragt ^^ = 46 |xW bei Up = 1,6 V
FluBspannung und /p = 10 mA FluBstrom. Wie groB
ist der externe Quantenwirkungsgrad und die Licht-
ausbeute?
Losung:
Die Photonenenergie betragt nach Gl. (6-2)
£;ph=l,88eV. Damit wird nach Gl. (6-15) ^7,^^ =
2,45 • 10"^ Der Lichtstrom ist nach Gl. (6-9) ^^ =
^, V(660 nm) K^ = 46 • 10"^ W • 0,061 • 683 Im/W =
l,92 10"Mm. Somit betragt nach Gl. (6-16) die
Lichtausbeute / = 0,12 Im/W.

Die in Tabelle 6-3 angegebenen Daten der


Lichtausbeute zeigen, daB LEDs nicht zur
Raumbeleuchtung geeignet sind. Vergleichs-
weise haben Metalldampflampen Lichtausbeu-
40 60 80
ten von / ^ 40 Im/W. FluBstrom/p/mA

Kennlinien Bild 6-8. Strahlungsleistung von Lumineszenzdioden


in Abhangigkeit vom Durchlafistrom: a) IRED, k =
Die Strom-Spannungs-Kennlinien von LEDs 955 nm, b) rote LED, k = 660 nm.
zeigen das iibliche Diodenverhalten (Bild 1-77).
Die Knickspannungen hangen von der Farbe
ab. Sie sind in Tabelle 6-3 zusammengestellt. zum FluBstrom ist. Die meisten LED und
Typische Kennlinien der Strahlungsleistung (^^ IRED (Infra Red Emitting Diode) zeigen fiir
bzw. des Lichtstroms (P^ in Abhangigkeit vom kleine Strome (/p < 50 mA) naherungsweise
DurchlaBstrom /p zeigt Bild 6-8. Durch Um- diesen Unearen Zusammenhang. Fiir groBere
kehrung von Gl. (6-15) folgt ^^ = ^ext(^ph/^)^F- Strome wird ein schwacherer Anstieg und
Das bedeutet, daB bei konstantem Quantenwir- schlieBlich bei verschiedenen Typen sogar ein
kungsgrad die Strahlungsleistung proportional Abfall der Ausgangsleistung beobachtet.
6.3 Halbleiter-Sender 247

O <,QO
Optische Eigenschaften 0 20° 30°
40°
Die Spektren einiger Lumineszenzdioden sind
in Bild 6-9 gezeigt. Die Linienbreiten (auf halber
\ / \ ^ ^ \ J ^
Hohe gemessen) variieren von 27 nm bis 45 nm.
100%
><f/Va)\^^^ 50°
Die Linienbreite ist bei Band-Band-Ubergan-
|60°
gen (Bild 6-6) im wesentlichen durch die mitt- 90%
lere thermische Energie | /cT der Elektronen 170°
und Locher gegeben. Unter der Annahme, daB 180°
die Linienbreite durch A£ ^3kT bestimmt ist,
90°
ergibt sich fiir Al folgende Naherung: 80% 60% 40% 20%
Bild6-10. Abstrahlcharakteristik I^i^i) bzw. I^(B^)
von zwei LEDs: a) TLH.460., (p = 60°, b) TLH.510.,

Fiir T = 300 K und X = 0,66 [im folgt fur die kel (p ist der Winkel, bei dem die Lichtstarke auf
Linienbreite A2 = 27 nm. die Halfte des Maximalwertes abgenommen
Die Strahlstarke I^ bzw. Lichtstarke I^ in Ab- hat. Die LED von MeBkurve b) hat ein glaskla-
hangigkeit vom Emissionswinkel s^ wird ganz res, nicht eingefarbtes Gehause und emittiert in
wesentlich durch die Form der LED bestimmt. einer schlanken Keule mit Abstrahlwinkel
Je nach Ausfuhrung des Vergufikorpers ergeben (p = 12°. Sie kann bevorzugt fur Lichtschranken
sich verschiedene Abstrahlcharakteristiken. und ahnhches eingesetzt werden.
Bild 6-10 zeigt in einem Polarkoordinatendia- Bei der Auswahl einer LED sollte die Angabe
gramm den Verlauf der Lichtstarke als Funk- der Lichtstarke stets zusammen mit dem Ab-
tion des Winkels e^, der relativ zur Flachennor- strahlwinkel betrachtet werden. So hat die LED
malen gemessen wird (Bild 6-4). Die LED der a) in Bild 6-10 eine maximale Lichtstarke von
MeBkurve a) besitzt ein eingefarbtes diffus /,(0) = 5mcd, die LED b) dagegen /^(0) =
streuendes Kunststoffgehause und erfiillt bei- 40 mcd.
nahe ideal die Charakteristik eines Lambert-
Strahlers Temperaturverhalten
Bild 6-11 zeigt drei Spektren einer roten LED,
/^(8i) = / ^ ( 0 ) c o s 8 i . (6-18)
die bei verschiedenen Temperaturen aufgenom-
men wurden. Generell zeigen alle LED die
Mit einem Abstrahlwinkel von cp = 60° ist sie Tendenz, daB sich die Spektren mit steigender
gut geeignet zur Betrachtung von der Seite, Temperatur zu hoherer Wellenlange verschie-
kann also beispielsweise in ein Display einge- ben, wobei die Linienbreite zu- und die Intensi-
setzt werden. Der Abstrahl- oder Offnungswin- tat abnimmt. Die Veranderung der Emissions-

100

•g 50

520 540 560 580 600 620 640 660 680 700 880 900 920 940 960 980 1000
Wellenlange X/nm

Bild 6-9. Spektren verschiedener Lumineszenzdioden.


248 6 Optoelektronik

Photonenenergie fph/eV zunehmen. Die Temperaturabhangigkeit des


!,0 1,95 1,90 1,85 1,80 U5 Bandgaps ist fiir die gangigen Halbleiter be-
kannt, was eine Berechnung der erwarteten
Wellenlangenverschiebung erlaubt. Es ergibt
sich fur die Halbleiter
- GaP (griine LED): d2/d T ^ 0,12 nm/K,
- GaAso aPo 4 (rote LED): dX/dT ^ 0,19nm/K,
- GaAs (IRED): dl/dT^ 0,24nm/K.
Ein Vergleich mit Tabelle 6-4 zeigt eine gute
Ubereinstimmung mit den experimentell be-
stimmten Werten.
Durch die Abnahme des Bandabstands mit stei-
gender Temperatur wird auch eine Abnahme
der DurchlaBspannung 11^ bewirkt. Fiir diese
Abnahme wurde ein Temperaturkoeffizient von
etwa — l,5mV/K beobachtet.
Die Abnahme der Strahlungsleistung mit stei-
620 640 660 680 700
gender Temperatur wird durch eine Zunahme
Wellenlange X/nm der nicht strahlenden Rekombinationsprozesse
Bild6-1L Spektren einer roten LED bei verschiede- im Halbleiter verursacht.
nen Temperaturen. Dieselben Effekte wie bei der Temperaturerho-
hung treten auch auf, wenn der Strom ansteigt.
wellenlange sowie der integralen Strahlungslei- Die Ursache ist natiirlich im Grunde die
stung verlauft im Temperaturbereich zwischen gleiche, namlich eine Erwarmung des Bauteils.
20 °C und 100 °C naherungsweise linear, so daB Fiir freistehende LED im Kunststoffgehause
sich fur beide Effekte Temperaturkoeffizienten betragt die Temperaturerhohung mit steigen-
definieren lassen, die in Tabelle 6-4 angegeben dem Strom etwa 1 K/mA.
sind.
Da der Hellempfindlichkeitsgrad des Auges be- Modulationsverhalten
sonders im roten Spektralbereich stark von der Die Strahlungsleistung einer LED ist nach Bild
Wellenlange abhangt (Bild 6-5), bewirkt die 6-8 in erster Naherung proportional zum Strom
Wellenlangenzunahme eine zusatzliche Ab- /p. Wird der Strom moduhert, dann wird auch
nahme des Helligkeitseindrucks. Beispielsweise die Strahlungsleistung eine Modulation aufwei-
betragt fur rotes Licht mit X = 660 nm die rela- sen. Bei sinusformiger Modulation des Stromes
tive Abnahme des Hellempfindlichkeitsgrads gemaB /p = f^ + i\ e-*"^^ wird in Gl. (6-13) die
— 5,9%/nm. Die aus diesem Effekt resultieren- Generationsrate g sinusformig von der Zeit t
den Temperaturkoeffizienten des Lichtstroms abhangig. Damit schwankt die UberschuBtra-
sind ebenfalls in Tabelle 6-4 angegeben. gerdichte An sinusformig und ebenfalls die
Die Verschiebung der Wellenlange mit der Tem- Strahlungsleistung:
peratur kommt daher, daB das Energiegap der
Halbleiter mit steigender Temperatur abnimmt. 0^ = 0^-^0^Qi^\
Da nun die Photonenenergie ungefahr mit der Eine genaue Analyse zeigt, daB die Amphtude
Breite des Energiegaps iibereinstimmt, muB die <P^ des Wechsellichtsignals mit zunehmender
Lichtwellenlange mit steigender Temperatur Anregungsfrequenz abnimmt gemaB
Tabelle 6-4. Temperaturkoeffizienten von Lumineszenzdioden.
Temperaturkoeffizient Einheit griine LED rote LED infrarote LED
CQY72 012 1A124

Wellenlange nm/K 0,1 0,18 0,24


Strahlungsleistung %/K -0,2 -0,5 -0,8
Lichtstrom %/K -0,3 -1,6
6.3 Halbleiter-Sender 249

JKPl^O^'d^^

M wird als Modulationssteilheit bezeichnet, T ist


die in Gl. (6-14) defmierte Lebensdauer der an-
geregten Ladungstrager, co = 27r/ist die Kreis-
frequenz der Modulation.
Bild 6-12 zeigt die Abhangigkeit der Modula- "Metallisierung^
tionssteilheit von der Modulationsfrequenz fur Bild 6-13. Aufbau einer GaAsP-LED auf a) absor-
ein Halbleitermaterial mit der Lebensdauer bierendem GaAs-Substrat und b) transparentem GaP-
T = 10ns. Die 3 dB-Grenzfrequenz f^^ ist da- Substrat.
durch defmiert, daB die Leistungsamplitude daB die Photonen an der Metalhsierung auf der
^1 (/gr) ^uf ^ie Halfte des Wertes (P^ (0) bei klei- Substratriickseite reflektiert werden und dann
nen Frequenzen zuruckgeht. Aus Gl. (6-19) folgt die LED auf der Vorderseite verlassen konnen.
mit M = 0,5 fur die Grenzfrequenz Die LED-Chips werden in der Regel in Kunst-
stoff eingebettet. Bild 6-14 zeigt einige Beispiele.
Je nach Anwendungsfall bieten die Hersteller
eine groBe Palette verschiedener Bauformen an,
von denen einige in Bild 6-15 zusammengestellt
Fiir die Diode in Bild 6-12 gilt somit sind.
/gr = 27,6 MHz. Schnelle Dioden konnen bis a) b) c)

etwa 500 MHz moduliert werden. 1 1 . . I

fc Kwwww ^SSWS^
u D

Bild 6-14. Bauformen von Lumineszenzdioden: a)


Chip in Kunststoffgehduse vergossen, b) Reflektor-
wanne mit transparentem Kunststaff (Diode erhdlt
Starke Richtcharakteristik), c) Reflektorwanne mit
diffus streuendem Kunststoff (Diode strahlt breit ab,
fiir Displays geeignet).
10^ ic
Modulationsfrequenz f/ Hz
Bild 6-12. Modulationssteilheit einer LED als Funk-
tion der Modulationsfrequenz bei einer Lebensdauer
von T = 10 ns.

Bauformen und Anwendungen


Der schematische Aufbau einer Standard-Rot-
LED aus GaAsQ ^PQ 4 auf GaAs-Substrat ist in
Bild 6-13 a dargestellt. Die Lichtabstrahlung er-
folgt nach oben. Photonen, die nach unten lau-
fen, werden im GaAs-Substrat absorbiert. Die
Ausbeute kann verbessert werden (High Effi-
ciency LED), wenn nach Bild 6-13 b die Struk-
tur auf GaP-Substrat aufgewachsen wird. GaP Bild 6-15. Ausfiihrungsformen von LED.
ist fur rotes Licht transparent (Abschn. 6.5.1), so Werkbild: Telefunken electronic.
250 6 Optoelektronik

Fiir Anzeigen sind Symbol-LEDs mit verschie-


LWL
denen Formen der Abstrahlflache erhaltlich
(z. B. Quadrat, Rechteck, Kreis, Dreieck). Hier- Epoxidharz
bei ist das meist klare Gehause mit einer diffus
streuenden ebenen Abstrahlflache abgeschlos- n-Kontakt

sen. n-GaAs
Fur Alarmanzeigen konnen blinkende LED
eingesetzt werden. Ein eingebauter IC bewirkt, n-GaAIAs
da6 die LED mit einer Frequenz von / ^ 3 Hz p-GaAs
blinkt. Blink-LED mit einem separaten Steuer- p-GaAIAs
p-GaAs
anschluB konnen auch auf Dauerlicht umge- Isolation
schaltet werden. p-Kontakt

Sehr interessant zur Anzeige von Betriebszu- Bild 6-16. Schematischer Aufbau einer Burrus-LED.
standen sind auch zweifarbige LED mit einem
rot und einem grun emittierenden Chip in Werden keine groBen Anforderungen an Daten-
einem Gehause. Die LED hat drei Anschliisse rate und (Jbertragungslange gestellt, dann
(eine gemeinsame Kathode und zwei Anoden) kann die optische Nachrichteniibertragung mit
und sendet je nach Beschaltung rotes oder grii- Kunststoffasern durchgefiihrt werden. Fiir sol-
nes Licht aus. Werden beide pn-Ubergange in che Anwendungen sind LED erhaltlich, die eine
FluBrichtung betrieben, entsteht durch additive Bohrung im Kunststoffgehause besitzen, in wel-
Farbmischung gelbes Licht. che eine Plastikfaser eingeklemmt werden kann.
Fiir Displays (Abschn. 6.4) werden Sieben-Seg- Durch verschiedene Spannclips konnen Fasern
ment-Anzeigen in unterschiedlicher Ziffern- mit Durchmessern zwischen 1 mm und 2 mm
groBe angeboten. Mit LED-Bandanzeigen kon- problemlos an den lichtemittierenden Chip
nen analoge Anzeigen von MeBwerten reaUsiert angekoppelt werden. Gleichartig konstruierte
werden, wobei die Lange des leuchtenden Ban- Phototransistoren sind als Empfangerelemente
des proportional zur MeBgroBe ist. Fiir Be- erhaltlich. Die biegsame Kunststofflichtleitfaser
leuchtungszwecke (z. B. von passiven LCD-An- kann auch fiir die verschiedenartigsten Beleuch-
zeigen) wurden groBflachige LED-Leuchtfelder tungszwecke eingesetzt werden.
entwickelt. Eine spezielle Anwendung ist die Be- Die Lumineszenzdioden zeigen eine groBe Steil-
lichtung der Druckertrommel von Photoko- heit der //C/-Kennlinie, d. h. einen kleinen diffe-
piergeraten. Hierzu werden LED-Arrays herge- rentiellen Widerstand. Aus diesem Grund sind
stellt, wobei beispielsweise 256 LED in einem LED auch gut als Referenzspannungsdioden fiir
Rasterabstand von 62,5 |am zeilenformig ange- Spannungen zwischen 1,5 V und 2,3 V einsetz-
ordnet sind. bar. Bild 6-17 zeigt die Kennlinie fiir eine rote
Zur Ziindung von Fotothyristoren und vor al- und eine griine LED. Der differentielle Wider-
lem zur optischen Nachrichtenubertragung auf stand von etwa 20 Q (bei /p = 5 mA) ist geringer
Lichtwellenleitern sind LED mit hoher Strahl-
starke und einer kleinen Emissionsflache erfor- i
derlich. Bei der Burrus-LED (Bild 6-16) wird
-
dies dadurch erreicht, daB die Stromzufuhrung
uber eine kleine Kontaktflache das Emissions- <
20
1 1
gebiet eingrenzt. Die absorbierende n-GaAs- E 15 -
rot griJn
Deckschicht ist abgeatzt, so daB der Lichtwel-
lenleiter (LWL) direkt iiber der Emissionsflache 10 -

L.
liegt. Der Schichtaufbau aus unterschiedlichen
Materialien wird als Doppelheterostruktur be-
5
zeichnet und wird vor allem bei Laserdioden
angewandt (Abschn. 6.3.3). GroBe Strahldich-
ten werden auch bei Kantenemittern erreicht, n
0
_J
1 2 3 4
bei denen das Licht aus der Stirnflache des pn- DurchlaSspannung Up /\/
Ubergangs austritt. Der Aufbau ist identisch Bild 6-17. Strom-Spannungs-Kennlinien von Refe-
mit jenem von Laserdioden. renzdioden.
6.3 Halbleiter-Sender 251

als der von Zener-Dioden derselben Spannung. werden, weil kleinste Spannungsschwankungen
Der Temperaturkoeffizient der DurchlaBspan- groBe Schwankungen des Stroms und damit der
nung ist dU^/dT ^ -1 mV/K. Strahlungsleistung zur Folge hatten. Aus die-
sem Grund muB der Strom durch eine LED
Alterung moglichst konstant gehalten werden. Die ein-
Beim Betrieb von LED nimmt der externe fachste Moghchkeit der Stromeinpragung ge-
Quantenwirkungsgrad und damit die Strah- schieht dadurch, daB nach Bild 6-18 die LED
lungsleistung im Laufe der Zeit langsam ab. mit einem Vorwiderstand Ry in Reihe an eine
Dieser Effekt wird als Degradation bezeichnet. Spannungsquelle geschaltet wird. Der Arbeits-
Als Lebensdauer TI/2 einer LED wird die Zeit punkt ergibt sich als Schnittpunkt der LED-
festgelegt, nach der die Strahlungsleistung auf Kennlinie und der Widerstandsgeraden, die be-
die Halfte des Neuwertes abgefallen ist. Ein sol- schrieben wird durch
cher Helligkeitsunterschied von 50% wird vom
menschlichen Auge gerade wahrgenommen.
Das bedeutet also, daB eine LED nach der Zeit
Ti/2 nicht funktionsunfahig ist wie eine Gluh-
birne (abgesehen von Totalausfallen, die natiir- In Bild 6-18 ist der Strom /p ^ 22,4 mA. Kleine
lich auch vorkommen), sondern noch wesent- Schwankungen der Batteriespannung andern
lich langer betrieben werden kann. Die Herstel- den Strom nur wenig. Wird die rote LED durch
ler von LEDs geben heute Lebensdauern von eine griine ersetzt, geht der Strom auf etwa
T^i2>10^h (das sind ca. 12 Jahre Dauerbetrieb) 20 mA zuriick.
an; Rekordwerte liegen bei 10^ h.
i 1

Es zeigt sich, daB die Degradation wesentlich -


rascher voranschreitet, wenn die Betriebstem-
40 J
peratur der LED erhoht wird. Empirisch wurde n«v
".|J
eine Arrhenius-BQZiQhung gefunden:

''1/2 •
EJkT
Toe' (6-21)

Die beobachteten Aktivierungsenergien liegen


<

•-E^
2
C2
30

20
\
\
^X
\
^^ ^
fI
\><>^
\^^0^
bei 0,4 eV < £a < 0,8 eV. Alterungsexperimente o ^^'Q
werden deshalb meist bei hoheren Temperatu-
^ 10
Q '^ _ \
ren durchgefiihrt und die Ergebnisse dann mit
Hilfe von Gl. (6-21) auf Raumtemperatur extra- n 1 J„.L_„ 1 1 1 1 1 1 \j _
1 2 3 4 5 6 7 8 9
poliert. Fiir eine lange Lebensdauer sollte also DurchlaSspannung L/p / V
die Sperrschichttemperatur und der Strom mog- Bild 6-18. Betrieb einer LED mit Vorwiderstand:
lichst niedrig gehalten werden. Sind groBe Arbeitspunkteinstellung bei einer roten LED mit
Strahlungsleistungen und damit groBe Strome U^ = 9X i?v = 330Q.
erforderlich, dann muB im Pulsbetrieb gearbei-
tet werden.
Eine aktive Stromeinpragung wird mit einer
Die Mechanismen, die zur Degradation fiihren, Konstantstromquelle erzielt. Verschiedene Her-
sind nicht restlos geklart. Eine groBe RoUe spie- steller bieten dafur ICs an. Eine Schaltung kann
len sicherlich Verunreinigungen des Kristalls aber auch sehr einfach mit diskreten Bauteilen
mit Lumineszenzkillerzentren (z. B. Kupfer), aufgebaut werden. Zwei Moglichkeiten sind in
Verunreinigungen der Oberflache, Kristallbau- Bild 6-19 skizziert. Teilbild a zeigt eine Strom-
fehler sowie mechanische Spannungen. begrenzerschaltung, die darauf beruht, daB bei
steigendem Strom durch die Leuchtdiode der
Ansteuerschaltungen Spannungsabfall iiber dem Widerstand R2 an-
Wie bei jeder Diode hangt auch bei einer LED steigt. Dadurch wird der Transistor T^ leitend,
der Strom in FluBrichtung exponentiell von der was den Basisstrom von T2 verringert und da-
Spannung ab. Deshalb sollte eine LED nicht mit auch den Kollektorstrom durch T2. Mit
einfach an eine Spannungsquelle angeschlossen R^ = 22 kQ, i^2 = 27 Q und U = 9Y betragt
252 6 Optoelektronik

nicht zu hochohmig sein, weil sonst die Refe-


renzdiode nicht geniigend Spannung erhalt. Die
Schaltung kann auch wieder mit mehreren
LED in Reihe bestiickt werden. Sie verhalt sich
auBerst stabil, auch in bezug auf Temperatur-
schwankungen, und zwar deshalb, weil die Tem-
peraturdrift der Referenzspannung etwa die-
selbe ist, wie diejenige der Basis-Emitter-Span-
REF^s^ nung des Transistors. Die maximale Versor-
gungsspannung ist wieder durch die zulassige
Verlustleistung im Transistor begrenzt. Bei U =
LED^^ 20 V und Ip = 20 mA betragt sie ca. 0,35 W
Leuchtdioden konnen in Digitalsystemen di-
Bild6-19. Betrieb einer LED mit Konstantstrom- rekt durch logische Gatter angesteuert werden;
quelle: a) Zwei Transistoren, b) Transistor mit Refe- Bild 6-20 zeigt zwei Beispiele. Die Strombegren-
renzdiode. zung erfolgt entweder durch gatterinterne Wi-
a) +5V b) derstande oder durch einen externen Vorwider-
stand. Die Gatterausgange besitzen wegen der
1 P
relativ hohen Belastung durch die LED keine
definierten Spannungspegel mehr. Zur Steue-
rung der Helligkeit gibt es Gatter mit einem
LED
zweiten Eingang, an den eine rechteckformige
_LED
Wechselspannung gelegt wird, deren Tastver-
haltnis den mittleren Diodenstrom bestimmt.
Zur optischen Dateniibertragung muB die
1 k> Strahlung einer Lumineszenzdiode moduhert
werden. Es ist sowohl Sinus- als auch Pulsmo-
Bild6-20. Ansteuerung von LED durch TTL-Bau- dulation moglich. Bei der sinusformigen Modu-
steine: a) Open-Collector-Ausgang; LED leuchtet,
wenn am Eingang ein H-Pegel liegt, b) Totem-pole-
lation muB ein Vorstrom /p eingestellt werden.
Ausgang; LED leuchtet, wenn am Eingang ein L-Pegel Dieser Gleichstrom wird durch eine Wechsel-
liegt. spannung moduhert. Im Beispiel von Bild 6-21
wird die Modulationsspannung an der Basis
I^^IX mA. Dieser Strom steigt nur um etwa des Transistors T eingespeist, der den Ruhe-
10% an, wenn die Versorgungsspannung von strom hefert. Der Ruhestrom betragt /p =
9V auf 20 V vergroBert wird. Die Schaltung iU/2-U^^)/R^^20mA.
kann also fur verschiedene Spannungen benutzt
werden. Die maximale Betriebsspannung wird (y= + i o v . -
durch die zulassige Verlustleistung im Transi-
stor T2 bestimmt. Bei L/^ = 20 V ist die Span-
nung an T^ ungefahr 17,4 V (20 V - 2 V [LED] /?i = 2,2kn
LED
- 0,6 V [R2]). Der Strom von I^ ^ 23 mA flieBt
praktisch voll durch T2; also ist die Verlustlei- Modulations-
stung P ^ 0,4 W. Anstelle der einen LED kon-
nen auch zwei oder mehr eingesetzt werden, je
nach Hohe der Versorgungsspannung.
Eine sehr elegante Losung mit nur einem Tran-
eingang •"

t^IMF

/?2=2,2kn /?E = 220n

sistor und einer Referenzspannungsdiode zeigt


Bild 6-19 b. Der Strom durch die LED wird Bild 6-2L Einfache Ansteuerschaltung fUr sinusfor-
durch die Wahl des Widerstands R2 bestimmt: mige Modulation.
h = (^REF - ^ B E ) / ^ 2 . Mit 1/REF = 1,5 V (rote
LED) und t/gE = 0,7V wird /F = 20mA bei Eine einfache Schaltung fur Impulsmodulation
i^2 = 40Q. Die Wahl des Widerstands R^ ist zeigt Bild 6-22. Solange wahrend des Pulses 0 V
relativ unkritisch (z.B. R^ = 5,6kQ). Er darf am Eingang liegt, sperrt der Transistor und iiber
6.3 Halbleiter-Sender 253

f?w=i5on
r
L/ = + 5V Ein Photon, dessen Energie mit der Differenz
zweier Energiezustande iibereinstimmt, kann
nun nicht nur Ubergange der Elektronen vom
' D ' CO '
hoheren zum tieferen Niveau stimuHeren, son-
zirv
|Q-| Q- I
+ 5V
dern auch Elektronen aus dem tiefen in den
OV
hoheren Zustand heben. Dieser ProzeB der Ab-
/?1 = 1 kr2
Puis- «- sorption wird in Abschn. 6.5.1 genauer betrach-
eingang tet. Da normalerweise die meisten Elektronen
/?2=10kn
die tiefen Energiezustande belegen, ist die Ab-
sorption der dominierende Vorgang, wahrend
die stimulierte Emission vernachlassigbar ist.
Bild 6-22. Einfache Pulsmodulationsschaltung. Um eine kraftige stimulierte Emission zu erhal-
ten, miissen mehr Elektronen im angeregten
die LED flieBt der Strom h = {U - U^)/Ry Energieniveau sein, als im tieferliegenden. Die-
^ 20 mA. Wahrend der Pause liegen 5 V am ser als Besetzungsinversion bezeichnete Zustand
Eingang, der Transistor wird leitend und muB kunstlich herbeigefuhrt werden und wird
schlieBt die LED kurz, so daB der Strom iiber als erste Laserbedingung bezeichnet.
den Transistor abflieBt.
Ein Laser funktioniert praktisch nur, wenn die
Lichtwelle das aktive Gebiet (der Bereich, in
6.3.3 Halbleiterlaser dem die Besetzungsinversion vorhegt) mehr-
Laserprinzip mals durchlauft. Zu diesem Zweck wird das
Lasermaterial in einen optischen Resonator ge-
Die Photonen, die von einer Lichtquelle ausge- bracht, dessen Endflachen durch Spiegel gebil-
sandt werden, entstehen dadurch, daB Elek- det werden, zwischen denen das Licht hin- und
tronen von einem hoheren in ein tieferes Ener- herlauft. Diese optische Ruckkopplung ist die
gieniveau iibergehen. Diese Ubergange erfolgen zweite Laserbedingung.
meist spontan und vollig unkorreliert. Die
Lichtwelle, die hierbei entsteht, wird durch viele
Laserdiode (Injektionslaser)
kurze Wellenzuge gebildet, die untereinander
keine festen Phasenbeziehungen aufweisen. Die Laserdiode ist ein hochdotierter pn-Uber-
Strahlung dieser Art wird als nicht kohdrent be- gang (Storstellenkonzentrationen von iiber
zeichnet. 10^^ cm~^). Bei dieser hohen Storstellendichte
Einstein postulierte 1917, daB auBer den spon- verschmelzen die Donatorniveaus mit dem Lei-
tanen auch stimulierte Ubergange der Elek- tungsband des n-Materials, so daB auch bei tie-
tronen vorkommen sollten. Dabei wird ein fen Temperaturen eine hohe Elektronendichte
Elektron in einem angeregten Energiezustand im Leitungsband vorhegt. Entsprechend sind
durch ein Photon zu einem Ubergang in einen viele freie Locher im Valenzband des p-Mate-
tiefer Uegenden Zustand stimuliert. Vorausset- rials. Wird die Diode in FluBrichtung betrieben,
zung dafiir ist, daB die Energie des ankommen- so stellt sich bei einer bestimmten Spannung
den Photons mit der Energiedifferenz zwischen das Banderschema so ein, wie es in Bild 6-23
den beiden Niveaus iibereinstimmt. Das pri- skizziert ist. Im Ubergangsbereich zwischen p-
mare Photon wird durch das beim Ubergang und n-Halbleiter, der aktiven Zone, sind energe-
erzeugte Photon verstarkt. Im Wellenbild be- tisch hochhegende Zustande im Leitungsband
deutet das, daB die beiden Teilwellen phasenge- mit Elektronen besetzt, tiefliegende im Valenz-
recht aneinanderkoppeln. Sind sehr viele Elek- band sind leer (das sind die Locher). Es hegt
tronen im hohen Energieniveau, dann konnen also eine Besetzungsinversion vor, die nach obi-
sie sukzessiv zu Ubergangen stimuHert werden, gen Ausfiihrungen die Grundvoraussetzung fur
so daB die primare Welle enorm verstarkt wird die stimulierte Emission des Lasers ist.
und ein langer koharenter Wellenzug entsteht. Die zweite Laserbedingung, die Ruckkopplung
Diese Lichtverstdrkung durch stimulierte Emis- der Lichtwellen an Resonatorspiegeln, wird bei
sion von Strahlung ist auch die Bedeutung des den Laserdioden folgendermaBen erfiillt: Nach
Wortes LASER (Light Amplification by Stimu- Bild 6-24 wird der Laserkristall als Quader aus-
lated Emission of Radiation). gebildet. Die spiegelnden Endflachen sind
254 6 Optoelektronik

Aus der Kennlinie fiir 25 °C in Bild 6-25 b ergibt


sich ein differentieller externer Quantenwirkungs-
grad von ^ext = 32% pro Spiegelendflache (vgl.
die Zahlenwerte fur LED in Tab. 6-3).
Die Wellenlange der Laserstrahlung hangt wie
bei der LED von der GroBe des Bandgaps E^
ab. Tabelle 6-5 zeigt eine Zusammenstellung
haufig verwendeter Lasermaterialien. Die ersten
Halbleiterlaser wurden aus GaAs bzw. GaAlAs
gemacht und emittieren im nahen IR bzw. im
roten Spektralbereich. Sie sind weit verbreitet
und werden vom industriellen Bereich bis zur
Bild6-23. Bdnderschema einer Laser diode bei Be- Unterhaltungselektronik (CD-Player) eingesetzt.
trieb in Flufirichtung. Die schraffierten Gebiete sind Mit den quaternaren Mischkristallen laBt sich
mit Elektronen besetzt. der fur die optische Nachrichtentechnik wich-
tige Spektralbereich von 1,3 |im bis 1,6 |im er-
p-Geblet
fassen, in dem die Glasfasern die besten Uber-
tragungseigenschaften zeigen.
Tabelle 6-5. Materiahen fiir Halbleiterlaser.

Material Wellen- Anwendungen


langen-
n-Gebiet bereich
in i^m
/ / / /
Bild6'24. Aufbau einer Laserdiode; Ldnge etwa ternare 0,69 bis optische Daten-
200 fim bis 500 iim, Breite etwa 100 fim bis 250 nm. Mischkristalle 0,87 speicher, optische
Ga^Ali_,As Nachrichten-
Spaltfldchen des Kristalls, die vollkommen eben technik, Material-
und planparallel sind. Infolge der groBen bearbeitung
Brechzahl der Halbleiter ist die Reflexion so quaternare 0,92 bis optische Nach-
groB (ca. 30%), daB keine externen Spiegel er- Mischkristalle 1,65 richtentechnik
forderlich sind. Ein Teil der zwischen den End- In,Gai_^As^Pi_,
flachen hin- und herlaufenden Welle wird dabei
standig an den beiden Flachen ausgekoppelt. Bleisalze, z. B. 4 bis 40 UmweltmeBtech-
Mit zunehmendem Strom steigt nach Bild 6-25 Pb,Sni_,Se nik, Absorptions-
die Ausgangsleistung zunachst wie bei einer messungen im
mittleren IR
LED an. In diesem Bereich der spontanen
Emission ist die Strahlungsleistung verhaltnis-
maBig niedrig. Wenn mit steigender Spannung Laserstrukturen
und steigendem Strom der optische Gewinn
(gain) die Verluste liberwiegt, setzt bei einem Die einfache Laserdiode von Bild 6-24 hat hohe
bestimmten Schwellstrom I^^^ (threshold) der Verluste, so daB fiir den Laserbetrieb eine Strom-
Laserbetrieb ein. Im Bereich der stimulierten dichte von 7th ^ 1^^ A/cm^ erforderlich ist. Sol-
Emission nimmt die Strahlungsleistung mit che Laser konnen nur im Pulsbetrieb arbeiten.
dem Strom stark zu. Der in Gl. (6-15) definierte Durch raffmierte Strukturen wurde es moghch,
externe Quantenwirkungsgrad wird fiir den die Schwellstromdichte auf 7^1^ < 500A/cm^ zu
steil ansteigenden Teil der LaserkennHnie diffe- reduzieren, was den Dauerstrichbetrieb bei
rentiell formuliert: Raumtemperatur moghch macht. Im wesent-
lichen fiihren zwei MaBnahmen zum Ziel:
- Die Schwellstromdichte ist um so kleiner, je
diinner die aktive Zone (Bild 6-23 und 6-24)
ist. Deren Dicke ist gegeben durch die Diffu-
6.3 Halbleiter-Sender 255

1 : nicht gezeichnet ist das n-GaAs-Substrat und


/c die p-GaAs-Deckschicht fur die Kontaktierung.
e^
/o Mit steigendem Al-Gehalt wachst die Band-
D Is
JUJ
lucke von GaAlAs (Bild 6-26 b). Die dadurch
jQi / *^
1 u
entstehende Potentialbarriere hindert die Elek-
O)
1 <1^
tronen daran, ins p-Gebiet und die Locher ins
c 1 3
3
x: /^ n-Gebiet zu diffundieren. Die aktive Zone wird
OJ
dadurch auf einen sehr schmalen Bereich einge-
(/) spontane
engt. Da der Brechungsindex n nach Bild 6-26 c
Emission
mit zunehmendem Al-Gehalt abnimmt, wird
*
th Stromstarke /c automatisch die gewunschte Fiihrung der
Lichtwelle erreicht (Bild 6-26 d). Die entspre-
chenden Doppelheterostrukturen fiir Laser, die
bei 2 = 1,3 |im oder /I = 1,55 }im emittieren, be-
stehen aus einer aktiven Schicht aus InGaAsP,
die beidseitig von n- und p-InP eingeschlossen
ist.

p-Ga^.^Alj^As n-Gai_^ALAs

b) E k
^WZZMZZZZ
20 40 60 80 100
Strom /p / mA
Bild6-25. Kennlinie eines Halbleiterlasers: a) Prin-
zip, b) Mefikurven fur einen InGaAsP-Laser mit
X = 1,3 |im.

sionslange der Elektronen ins p-Gebiet und


die der Locher ins n-Gebiet und betragt etwa
5 |im. Eine lokale Begrenzung der Ladungs-
trager {carrier confinement) auf Bereiche
< 0,5 |im ist wiinschenswert.
- Die im Laser erzeugte Lichtwelle muB daran
gehindert werden, daB sie sich in Gebiete aus- Bild 6-26. Doppelheterostruktur-Laser aus GaAlAsj
breitet, in denen keine Besetzungsinversion GaAs: a) Aufbau, b) Banderschema, c) Verlauf des
vorliegt, wo die Welle also absorbiert anstatt Brechungsindex n, d) Verlauf der Photonendichte n^^.
verstarkt wird. Dieses optical confinement
wird erreicht, wenn der Brechungsindex im Sehr geringe Ansteuerstrome ergeben sich,
aktiven Bereich grofier ist als auBerhalb, so wenn der StromfluB auf einen schmalen Langs-
daB die Welle wie in einem Lichtwellenleiter streifen des Kristalls und damit die Breite des
gefiihrt wird. aktiven Bereichs von ca. 100 |im bis 250 |im
Beide Forderungen werden in idealer Weise (Bild 6-24) auf nur wenige |im begrenzt wird.
durch die Doppelheterostruktur (DHS) erfullt. GrundsatzUch gibt es zwei Typen dieser Strei-
Bild 6-26 a zeigt den wesentlichen Teil einer fenlaser:
DHS in einem GaAs-Laser. Die aktive Schicht - Beim gain-guided-Laser (gewinngefuhrt) nach
mit einer Dicke von etwa 0,2 |im besteht- aus Bild 6-27 a wird der Strom nur in einem
p-GaAs oder p-GaAlAs mit geringem Al-Ge- schmalen Streifen durch den p-Kontakt zuge-
halt. Sie ist umgeben von p- und n-GaAlAs; fuhrt. Dadurch entsteht optische Verstar-
256 6 Optoelektronik

kung (gain) nur in einem schmalen Streifen


der aktiven Zone.
- Beim index-guided-Laser (indexgefuhrt) nach
Bild 6-27 b wird der aktive Streifen beidseitig
durch ein Material mit niedrigerem Bre-
chungsindex begrenzt, so daB eine aktive Wel-
lenleitung zustande kommt. Da die eigentliche
Doppelheterostruktur von auBen nicht mehr
sichtbar ist, spricht man von Lasern mit ver-
grabener Meterostruktur (buried heterostruc- 1290 1295 1300 1305
ture, BH-Laser). Wellenlange X/nm

Bei geniigend kleiner Streifenbreite (< 5 |xm) -28. Emissionsspektrum eines InGaAsP-La-
Bild 6-
schwingt der Laser im lateralen Grundmodus. sers.
Infolge der kleinen Emissionsflache sind Strei-
fenlaser sehr gut geeignet, um Licht in Glas- Der Abstand zweier Moden (mode spacing) ist
fasern zu koppeln, die beispielsweise bei also umgekehrt proportional zur Lange des
Monomodefasern einen Kerndurchmesser von Resonators. Dieser Zusammenhang ist in Bild
< 10 jim aufweisen. 6-29 dargestellt.
a) b)
p-Kontaktv
Beispiel
— Oxid- 6-4: Wie viele halbe Wellenlangen passen in den Re-
p-GaAs-
p-Ga^.^AI^As sonator eines Lasers mit L=300|Lim, 1 = 1,3 l^m,
i—p-GaAs (aktiv) n = 3,3 und dn/dl = - 0,32 |im~^? Wie groB ist der
Modenabstand?
n-Ga^.yAlyAs
-|-~n-GaAs-Substrat Losung:
-^n-Kontakt—" Nach Gl. (6-24) ist die Ordnungszahl m = 2nL/X
Bild 6-27. Beispiele des Aufbaus von Streifenlasern:
» 1523. Mit Gl. (6-25) ergibt sich U = 0,76 nm; wird
a) gain-guiding, b) index-guiding (BH-Laser). naherungsweise die Dispersion vernachlassigt (d.h.
dn/dX = 0), dann ergibt sich 5/1 = 0,85 nm.
Optische Eigenschaften
Bild 6-28 zeigt das Emissionsspektrum eines In- Wenn im Spektrum eine Mode stark dominiert,
GaAsP-Lasers mit der Wellenlange A ;^ 1,3 jam. was meist bei indexgefiihrten Streifenlasern der
Die Breite der gestrichelten Einhiillenden ist Fall ist, dann Hegt ein Monomode-Laser vor,
typischerweise A2 ;^ 4 nm, also etwa zehnmal ansonsten spricht man von Multimode-Laser.
schmaler als iibliche LED-Linienbreiten. Das Der Halbleiterlaser strahlt im Gegensatz zu den
Spektrum besteht aus mehreren sehr scharfen Gaslasern kein paralleles Lichtbundel ab, son-
Linien (Breite < 1 pm), den longitudinalen dern infolge der Beugung am Austrittsrechteck
Schwingungsmoden des Lasers. Durch das Hin- (Bild 6-27) ein stark divergentes. Der Abstrahl-
und Herlaufen der Wellen im Laserresonator winkel in der Ebene des pn-tJbergangs liegt in
bauen sich stehende Wellen auf, bei denen die der GroBenordnung von cp ^ 20°, senkrecht
Laserlange L ein ganzes Vielfaches der halben dazu bei cp ^ 50°. Durch ein geeignetes Linsen-
Wellenlange ist: system (KoUimator) kann daraus aber ein na-
hezu paralleles Lichtbundel erzeugt werden.
nL=m-; (m = 1, 2, 3,...), (6-24)
Modulation
Die Strahlungsleistung von Laserdioden kann
n ist der Brechungsindex des Kristalls. Aus Gl. durch den Strom direkt moduhert werden. Dem
(6-24) folgt fur den Abstand benachbarter Mo- Modulationsstrom muB ein Vorstrom /g (Bias)
den: unterlegt werden, um einen bestimmten Ar-
beitspunkt auf der Kennlinie einzustellen (Bild
5^ = (6-25) 6-30).
2L{n/X-dn/dX)
Bei analoger Modulation muB der Vorstrom Jg
6.3 Halbleiter-Sender 257

Z. = 117ium

L = 200 jum

Z.=313/Lim

Bild 6-29. Lasermoden


bei verschiedenen Laser-
L-A_/LJvAXAJU ULn_A_A-A_^ Idngen L.
1260 1270 1280 1290 1300
Wellenlange X / n m

externe Quantenwirkungsgrad abnimmt. Em-


pirisch wurde eine exponentielle Abhangigkeit
des Schwellstroms von der Temperatur fest-
gestellt:

Ah = ^0 e r/ro (6-26)

Fiir die charakteristische Temperatur TQ wurden


folgende Werte gefunden:
- GaAlAs-Laser: 120 K bis 230 K,
- InGaAsP-Laser: 60 K bis 80 K.
Bild6-30. Wahl des Arbeitspunkts bei der Modula- Das Modenspektrum von Bild 6-28 verschiebt
tion von Laserdioden: a) Analogubertragung, b) Digi- sich mit steigender Temperatur zu hoherer
taliibertragung. Wellenlange. Dabei verschiebt sich die Einhul-
lende (gain-Kurve) ungefahr so wie das Band-
geniigend groB sein, damit nur auf dem steil
ansteigenden Teil der Kennlinie moduliert wird gap mit —- ^ 0,24 nm/K bei GaAs und
und nichtlineare Verzerrungen vermieden wer- Q -» I Bandgap

den. Bei der Pulsmodulation sollte der Vor- ^0,3 nm/K bei InGaAsP.
strom /g mindestens so groB sein wie der Die Peaks der einzelnen Longitudinalmoden
Schwellstrom I^^, damit keine Verzogerung des verschieben sich, wenn mit steigender Tempera-
Lichtpulses gegenuber dem Strompuls auftritt. tur der Brechungsindex und die Kristallange
Die Grenzfrequenz des Lasers ist erreicht, wenn wachsen. Aus Gl. (6-24) folgt
das optische Signal um 3 dB gegenuber dem
Wert bei langsamer Modulation abgenommen
hat (Bild 6-12). Moderne Laser konnen mit liber
10 Gbit/s moduliert werden.
a ist der lineare Ausdehnungskoeffizient des
Temperaturabhangigkeit Kristalls. Es ergeben sich folgende Zahlenwerte:
dAl
Aus Bild 6-25 ist ersichtlich, daB sich der —- ^ 0,12 nm/K bei GaAs und ^ 0,08 nm/
Schwellstrom I^^ mit steigender Temperatur C l i Moden
nach oben verschiebt und daB die Kennlinien K bei InGaAsP.
etwas flacher werden, d. h. daB der differentielle Die Langenanderung des Kristalls tragt nur zu
258 6 Optoelektronik

etwa 10% zum Gesamteffekt bei. Monitordiode Laser


I
Dadurch, daB sich die gain-Kurve mit der
Temperatur rascher verschiebt als die Moden,
kommt es beim Monomode-Laser zum mode
hopping (Bild 6-31), wobei die Emissionslinie
mit steigender Temperatur immer wieder zur
nachsten Mode mit der nachst kleineren Ord-
nungszahl m springt.
Um die temperaturabhangigen Eigenschaften
des Lasers kontrollieren zu konnen, werden
Halbleiterlaser meist auf einen Peltier-Kuhler
gesetzt, mit dem die Temperatur geregelt wird.
Dabei wird die Ausgangsleistung des Lasers
durch eine Monitor-Diode gemessen, die gegen-
iiber dem ruckwartigen Spiegel angebracht ist
(Bild 6-32).

Temperatur T

Bild 6-31. Temperaturabhdngigkeit der Emissions- Bild 6-32. InGaAsP-Laser fUr die optische Nachrich-
wellenldnge eines Monomode-Lasers (mode-hopping). tenUbertragung: a) Lasermodul mit Monitordiode und
Glasfaser (pig tail), b) Nahaufnahme von Laser chip
und Glasfaser.
Alterung Werkfotos: SEL.
Bei den Lasern nimmt wie bei den LED die
Strahlungsleistung bei festem Strom stetig ab. Vergleich: Die spezifische Ausstrahlung der
In der Laserkennlinie nach Bild 6-25 verschiebt Sonne ist M^ ;^ 6,2 kW/cm^). Wenn sich an den
sich der Schwellstrom I^^ im Laufe der Zeit zu Spiegeln kleine absorbierende Partikeln anla-
groBeren Werten und die Neigung der Kenn- gern, werden die Spiegel lokal so heiB, daB sie
linie, d.h. der externe Quantenwirkungsgrad Mikrorisse bekommen und durch eine Art Ero-
nimmt ab. Die Alterungsmechanismen sind sion aufplatzen.
trotz intensiver Forschung nicht voUig bekannt. Die Lebensdauer des Lasers (Werte von iiber
Eine groBe RoUe fur die Degradation spielen 10^ h werden erreicht) ist nicht einheitlich defi-
Kristallbaufehler (z. B. Versetzungen, Stapelfeh- niert. Haufig wird die Zeit angegeben, nach wel-
ler, Punktdefekte), mechanische Spannungen, cher der Schwellstrom um 20% gegeniiber dem
die daher kommen, daB die Gitterkonstanten Neuwert angestiegen ist. Dabei nimmt die
der verschiedenen Kristallschichten nicht gleich spontane Emission (unterhalb der Schwelle) um
sind und unterschiedliche Temperaturkoeffi- etwa 50% ab (siehe Definition der Lebensdauer
zienten haben, sowie die Belastung der Auskop- bei LED). Verschiedene Hersteller geben auch
pelspiegel durch die hohe optische Leistungs- eine Degradationsrate an, d. h. die prozentuale
dichte. Bei einem Streifenlaser mit ^^ = \0 mW Steigerung des Stroms pro 1000 Betriebsstun-
und einer Emissionsflache von 0,2 |am x 2 jim den.
betragt die Leistungsdichte 2,5 MW/cm^ (zum Lebensdauertests werden wie bei den LED bei
6.4 Displays 259

erhohter Temperatur durchgefiihrt. Die Le- keit gewahrleistet ist. Unser Auge ist empfindlich
bensdauer in Abhangigkeit von der Temperatur fiir Leuchtdichten iiber L^ = 10~^cd/m^. Bei
wird durch Gl. (6-21) beschrieben, mit deren Leuchtdichten kleiner als L^^IO cd/m^ sind
Hilfe die erwartete Lebensdauer bei Raumtem- nur die Stabchen der Netzhaut aktiviert (skoto-
peratur extrapoliert wird. Die Aktivierungs- pische Anpassung), die keine Farben unter-
energien liegen in der GroBenordnung von scheiden, sondern nur Graustufen. Farben wer-
E, ^ 1 eV. den fur L^ ^ 10 cd/m^ erkannt, wenn die
Halbleiterlaser sind auBerst empfindlich gegen Zapfen der Netzhaut angeregt werden (photo-
hohe Stromspitzen. Bei der Ansteuerung muB pische Anpassung). Fiir Leuchtdichten groBer
deshalb groBe Sorgfalt darauf verwendet wer- als L^ :^ lO'^cd/m^ setzt Blendung ein. Die
den, solche Spitzen zu vermeiden. Verschiedene Zeichen von aktiven Displays (selbstleuch-
Hersteller bieten Ansteuerschaltungen zum tende) sollten eine Leuchtdichte von L^ =
Dauerstrichbetrieb oder zur Modulation von 10... lO'^cd/m^ aufweisen.
Laserdioden an. Entscheidend fur die Sichtbarkeit eines leuch-
tenden Elements ist nicht allein seine Leucht-
Anwendungen dichte, sondern vor allem der Kontrast zur Um-
gebungshelHgkeit. Ist L^ ^ ^i^ Leuchtdichte
Einige Anwendungen der Halbleiterlaser sowie
eines Zeichens und L^ „ ^i^ Leuchtdichte des
die eingesetzten Materialien sind in Tabelle 6-5
Hintergrunds, so ist der Kontrast aktiver Dis-
zusammengestellt. Die Laserdiode ist der Lumi-
plays K^ folgendermaBen defmiert:
neszenzdiode in all jenen Gebieten iiberlegen,
wo es auf groBe Strahldichte, kleine Linien-
1^ _ ^ v , Z ^v,H
breite (Monochromasie), groBen Quantenwir- (6-28)
kungsgrad und hohe Modulationsfrequenz an-
kommt. Diese Punkte sind bei der optischen
Nachrichtenubertragung von groBter Bedeu- Der Kontrast sollte zwischen 7:1 und 100:1
tung. Bei der Datenverarbeitung werden mit La- Hegen. Zur Illustration: Beim Fernsehen be-
sern Daten mit hochster orthcher Auflosung in tragt der Kontrast etwa 30:1. Der Kontrast
Speicher ein- oder ausgelesen und Laserdrucker eines aktiven Displays nimmt mit steigender
zur Erstellung von Schriftstiicken eingesetzt. Helligkeit des Umfeldes ab, bis schlieBlich fur
tJber die Laufzeit von Laserpulsen konnen Ent- X^ < 3:1 die Anzeige ausbleicht und kaum
fernungen sehr prazise bestimmt werden. In der mehr abgelesen werden kann.
interferometrischen Ldngenmefitechnik spielt der
Bei Beleuchtung mit WeiBlicht (Sonnenlicht) ist der
Laser als koharente und frequenzstabile Licht- Kontrast von roten Zeichen wesentlich besser als der
quelle eine groBe Rolle. Infolge der hohen Lei- von griinen (bei gleicher Leuchtdichte der Zeichen).
stungsdichte kann der Laser in der Material- Der Kontrast laBt sich dadurch verbessern, daB Farb-
bearbeitung und in der Medizin (Laserskalpell) filter vor die Zeichen gesetzt werden. Umgebungs-
eingesetzt werden. SchheBhch konnen Bleisalz- Hcht, das auf das Display fallt und an der Umgebung
laser auf spezifische Absorptionswellenlangen der Zeichen reflektiert wird, erfahrt bei zweimaligem
bestimmter Molekiile abgestimmt werden und Durchgang durch das Filter eine starkere Schwa-
so in der Umweltmefitechnik eingesetzt werden, chung als das Licht, das die Zeichen selbst aussenden.
um die Konzentration bestimmter Stoffe in der Fiir passive Anzeigen (nicht selbstleuchtende)
Atmosphare zu messen. ist der Kontrast K^ wie folgt defmiert:

6.4 Displays
6.4.1 Anthropotechnische Gesichtspunkte
Bei dunklen Zeichen auf hellem Grund spricht
Anzeigeelemente oder Displays haben die Auf- man von Positivkontrast im Gegensatz zum
gabe, alphanumerische Daten oder Bilder darzu- Negativkontrast bei hellen Zeichen auf dunklem
stellen. Da sie vom Menschen betrachtet werden, Hintergrund. Zur Illustration: Der Kontrast
miissen einige Forderungen des menschlichen beim Zeitungsdruck betragt etwa 1:7. Fiir pas-
Auges erfuUt werden, damit eine gute Ablesbar- sive Displays sollte der Kontrast zwischen 1:7
260 6 Optoelektronik

(bzw. 7:1) und 1:30 (bzw. 30:1) liegen. Bei mitt- (TTL-kompatibel), schnell schaltbar, daher gut
leren bis hohen Umgebungsleuchtdichten ist geeignet fur Multiplexbetrieb, breite Farbpa-
der Kontrast passiver Displays konstant und lette, hohe Leuchtdichte (L^ ^ 10"^ cd/m^), ex-
hangt nicht von der Umgebungshelligkeit ab. trem hohe Lebensdauer (T > 10^ h), mechanisch
Bei geringen Umgebungsleuchtdichten benoti- unempfindlich. Ein Nachteil ist die hohe Lei-
gen passive Displays eine Zusatzbeleuchtung. stungsaufnahme (P ^ 50 mW).
Fiir ein sicheres Ablesen mussen die Zeichen
eines Displays unter einem Winkel von minde- Vakuum-Fluoreszenz-Displays (VFD)
stens 7 Bogenminuten vom Auge aus sichtbar Das VFD ist eine Weiterentwicklung der Elek-
sein. Aus dieser Forderung folgen die in Tabelle tronenrohre (Triode). Kathode, Gitter und
6-6 angegebenen Werte des maximalen Abstan- Anode sind in einem flachen GlasgefaB unterge-
des fiir gangige Ziffernhohen von LED-Dis- bracht. Die geheizte Wolframkathode sendet
plays. Elektronen aus, die je nach Gitterspannung auf
die Anode treffen oder abgebremst werden. Die
Tabelle 6-6. Zeichenhohe alphanumerischer Dis- Anode ist mit einem Leuchtstoff beschichtet,
plays und maximaler Betrachtungsabstand fur der Licht emittiert, wenn schnelle Elektronen
sicheres Ablesen. auftreffen (Kathodolumineszenz). Ublicherweise
Zeichenhohe 2,8 7 10 13 14,2 20,3 wird als Leuchtstoff ZnO eingesetzt, der blau-
in mm griin leuchtet, jedoch sind auch Farbstoffe fiir
blau, gelb, orange und rot gefunden worden. Es
max. Abstand 1,5 3,5 5 6,5 7 10 sind Siebensegment-Anzeigen, Matrix-Anzei-
mm gen und Sonderzeichen erhaltlich. GroBanzei-
gen mit Ziffernhohen bis 150 mm sind moglich.
Vorteile der VFD: Hohe Leuchtdichte (L^ =
6.4.2 Displaytypen 300 ... 3000 cd/m^), schnell steuerbar, daher gut
zum Multiplexbetrieb geeignet, hohe Lebens-
Von den vielen Moghchkeiten zur Anzeige von
dauer (T ^ 10^ h).
Daten werden hier nur die fur kleinere alpha-
numerische Anzeigen wichtigsten Verfahren be- Nachteile: Aufwendige Bauweise, mehrere Span-
sprochen: nungen notig, darunter 10... 40V Anodenspan-
- Leuchtdioden, nung, hohe Leistungsaufnahme (P < 125 mW
- Vakuum-Fluoreszenz-Displays, pro Segment).
- Plasma-Displays,
Plasma-Displays
- Fliissigkristallanzeigen.
Es werden keine Verfahren zur Ubertragung Plasma-Displays benutzen das Licht, das bei
von Bildern (z. B. Bildrohre, Flachbildschirm) einer Gasentladung in einem Gas unter gerin-
behandelt. gem Druck ausgesandt wird. Fiir Ziffernanzei-
gen wurden sog. Nixierohren entwickelt, bei de-
Leuchtdioden nen 10 Kathoden aus diinnen Drahten gefertigt
sind, die in der Form der Ziffern 0 bis 9 gebogen
Die Wirkungsweise von LED wurde bereits und parallel zur Anode angeordnet sind. Wird
ausfiihrlich in Abschn. 6.3.2 dargestellt. Mit die Ziindspannung iiberschritten, so iiberzieht
Hilfe von LED lassen sich alle gangigen Sym- sich die Kathode mit intensivem negativem
bole fur alphanumerische Anzeigen herstellen Glimmlicht. Meist wird die Rohre mit einem
(Bild 6-15). In Segment-Anzeigen werden die Neon-Argon-Gemisch gefullt, welches orange-
LED-Chips in Reflektoren eingebettet, die das rotes Licht abgibt. Die Ziindspannung hegt bei
Licht auf das ganze Segment verteilen (Bild 150... 200V, der Strom ist 1...10mA. Eine
6-14 c). Die Flache des aktiven Chips kann da- Weiterentwicklung der Nixierohren sind pla-
bei lOOmal kleiner sein als die Flache des leuch- nare Plasmadisplays (PDP). Das Deckglas der
tenden Segments. Die Reflektoren werden mit Anzeige ist dabei mit einer transparenten
dunklem Kunststoff umgeben, damit ein guter Anode uberzogen; die Kathoden bilden die ein-
Kontrast entsteht. zelnen Segmente einer alphanumerischen An-
Vorteile des LED-Displays: Niedere Spannung zeige.
6.4 Displays 261

Vorteile der PDP: Hohe Leuchtdichte


(Ly ^ 500 cd/m^), komplizierte Symbole dar-
stellbar (Siebdrucktechnik moglich).
Nachteile: Gefahrlich hohe Betriebsspannung
(1/ = 150 ... 300 V), Spezialtreiber bei TTL-An-
steuerung erforderlich, hoher Leistungsbedarf
(P ?^ 1 W), Ziindprobleme, stoBempfindhches
GlasgefaB, geringe Lebensdauer (T ^ lO'^h).
Bild 6-33. Anordnung der Molekiile in einem nemati-
Fliissigkristallanzeigen (LCD) schen FlUssigkeitskristall.
Fliissigkristalle (FK) werden von langgestreck-
ten Molekiilen meist aromatischer Verbindun- und Helfrich entwickelt und beruht auf einem
gen gebildet. Sie passen sich wie eine Fliissigkeit Feldeffekt. In einem 5 bis 15 |im breiten Raum
der Gefafiform an, besitzen aber gewisse Ord- zwischen zwei Glasplatten befmdet sich ein
nungsstrukturen, die sonst nur bei Kristallen nematischer FK mit positiver Anisotropic. Die
auftreten. Sie befinden sich in einem bestimm- Glasplatten sind mit einer transparenten Elek-
ten Temperaturbereich in einem Zwischenzu- trodenschicht aus Indium-Zinn-Oxid (ITO)
stand (Mesophase) zwischen der hochgeordne- iiberzogen. Darauf wird eine wenige nm dicke
ten, anisotropen Kristallstruktur und dem vol- Polyimidschicht aufgebracht, die mit einer
lig ungeordneten Zustand der Fliissigkeit mit kurzhaarigen Walze gerieben wird. Durch das
ihren isotropen Eigenschaften. In FK sind zwei Reiben erhalt der Kunststoff eine Struktur, und
unterschiedliche Ordnungsstrukturen mogUch: die FK-Molekiile orientieren sich an der Glas-
Einerseits die von den Festkorpern bekannte oberflache parallel zu dieser Vorzugsrichtung.
regelmafiige Anordnung der Massenmittel- Nach Bild 6-34 a werden die zwei Glasplatten
punkte (Molekulschwerpunkte) und anderer- so angeordnet, daB die Vorzugsrichtungen um
seits die Ausrichtung der Molekiilachsen. Je 90° gegeneinander verdreht sind. Die Molekiile
nach Ordnungsstruktur unterscheidet man ordnen sich dadurch schraubenformig zwischen
nematische (fadenformige), cholesterinische (wen- den beiden Flatten an, weshalb man von einer
delformige) und smektische (geschichtete) Fliis- verdrillten nematischen Phase (Twisted Nematic,
sigkristalle. TN) spricht. Wird die TN-Zelle von unten be-
leuchtet, dann wird das Licht durch einen Pola-
In FK-Anzeigen fmden bislang nur nematische risator so polarisiert, daB die Polarisationsrich-
Stoffe (mit geringen cholesterinischen Zusatzen) tung mit der Vorzugsrichtung der Molekiile an
Verwendung. Bei ihnen sind die Langsachsen
der unteren Glasplatte iibereinstimmt. Beim
der zigarrenahnlichen Molekiile im Mittel
Durchlaufen der Zelle dreht sich die Polarisa-
parallel ausgerichtet (Bild 6-33). Die Molekiile
tionsebene der Lichtwelle im Sinne der Mole-
lassen sich gegeneinander relativ leicht ver-
schieben. Sie weisen ein schwaches elektrisches
Dipolmoment auf und erfahren in einem elek-
trischen Feld ein Drehmoment. 1st die Dielek- /
/ . . /
/ Polarisator /
/ *
/
/
trizitatszahl in Langsrichtung der Molekiile
/ / Elektrode /
groBer als senkrecht dazu, dann liegt eine posi-
tive Anisotropic vor, im umgekehrten Fall eine
~i—/^—"-*
T /
*^—^/ xx\\\ orientierter y^*::^^^:^^
/ nhprflanhP /
lA
negative. Im ersten Fall richten sich die Mole-
kulachsen parallel zum elektrischen Feld aus, 0J
0 0 i
o ^ c::^ Flijssigkristall- []
im zweiten Fall senkrecht dazu. Durch Ein- und 7 molekiile y
Ausschalten eines elektrischen Feldes konnen \ ^ ^ 0
die Molekule gedreht und damit die optischen
Eigenschaften des Fliissigkristalls beeinfluBt
werden.
//)
-"—y //
x> /.tiektrode
// / mit orientierter /
Z_^___r_/Oberflache

/ /
/

/ /
/ ^
^
//
}/
/ /
/ / / Polarisator / / /
Prinzip der Drehzelle
Bild 6-34. Prinzip der Fliissigkristall-Drehzelle: a)
Die Fliissigkristall-Drehzelle wurde von Schadt spannungslos, b) mit angelegter Spannung.
262 6 Optoelektronik

kiilachsen. Das Licht verlaBt die Zelle also mit


einer um 90° gedrehten Polarisationsrichtung
und wird von dem zweiten Polarisator, der
gegeniiber dem ersten ebenfalls um 90° gedreht
ist, durchgelassen.
Wird an die beiden Elektroden eine Spannung
angelegt (1,5 bis 5 V), dann orientieren sich nach
Bild 6-34 b die Molekiile in Feldrichtung und
eine linear polarisierte Lichtwelle erfahrt beim
Durchgang durch die Zelle keine Drehung
mehr. Das Licht wird deshalb vom oberen
Polarisator nicht mehr durchgelassen, die Zelle
bleibt schwarz. Es ist natiiriich auch moglich,
die beiden Polarisatoren einer TN-Zelle paral-
lel zu orientieren. Die Zelle ist dann im span- Bild 6-25. Aufbau einer Reflexions-Drehzelle.
nungslosen Fall schwarz und bei Anlegen des
Feldes transparent. Zur Ansteuerung muB
Wechselspannung verwendet werden, wobei der I 90%
Gleichspannungsanteil hochstens 50 mV betra- ^
gen darf. Bei zu groBem Gleichspannungsanteil
wiirden namhch die transparenten ITO-Elek-
troden zu undurchsichtigem Indium und Zinn
reduziert und die Anzeige wiirde erblinden.
Bild 6-35 zeigt den Aufbau einer Siebenseg- 10%
ment-Reflexionsanzeige. Umgebungslicht fallt // //
von vorn rechts auf die Zelle und erhalt durch Spannung
den Polarisator P^ eine horizontale Polarisa- Bild 6-36. Kennlinie des Kontrasts einer TN-Zelle in
tionsrichtung. Die beiden Glasplatten G tragen Abhdngigkeit von der Spannung.
die transparenten ITO-Elektroden, wobei die
Elektroden der hinteren Platte aus sieben ein- 200... 300 ms beim Ausschalten. Bei tiefen
zeln ansteuerbaren Elementen bestehen. Der Temperaturen verzogern sich die Zeiten erheb-
Abstandshalter A ist etwa 10 jim dick und wird lich und konnen in den Bereich von Sekunden
durch die obere FiillofFnung mit dem Flussig- kommen.
kristall gefullt. In diesem Bereich bildet sich die FK-Anzeigen sind wie ein Plattenkondensator
verdrillte nematische Phase aus, in der die Pola- aufgebaut und stellen fur die Ansteuerquelle
risationsebene des Lichtes um 90° gedreht wird. eine kapazitive Last dar. Die Kapazitat einer
Das Licht durchsetzt den Polarisator P2, wird kleinen Anzeige betragt pro Segment etwa
am Reflektor R reflektiert und tritt nach vorne 100 pF. Die Frequenz der Wechselspannung
wieder aus der Zelle aus. Aktiviert man jetzt liegt in der Praxis zwischen 30 und 100 Hz. Da-
beispielsweise die Elektroden a, b, c, d und g, bei wird eine auBerordentUch kleine Leistung
dann wird im Bereich dieser Elektroden die von etwa 5 j^W/cm^ aufgenommen.
Schwingungsrichtung des Lichts nicht mehr ge-
dreht und es erscheint eine schwarze Ziffer 3 auf
6.4.3 Analoganzeigen
hellem Hintergrund.
Der Kontrast einer Drehzelle ist in Bild 6-36 Skalenanzeigen in Form eines wandernden
qualitativ skizziert. Ublicherweise wird der Leuchtpunktes oder eines leuchtenden Bandes
ein- bzw. ausgeschaltete Zustand mit 10% nach Bild 6-37 lassen eine quasianaloge Anzeige
bzw. 90% des maximalen Kontrastes definiert. von Spannungen zu. Displays dieser Art werden
Typische Spannungen liegen bei U^^^^ 1,5Y haufig eingesetzt bei Aussteuerungsanzeigen,
und [/gin ^ 2,5 V. Die TN-Zelle folgt einer Fiillstandsmessern, Tachometern und Rund-
Spannungsanderung mit einer gewissen Trag- funkskalen. Verschiedene Hersteller bieten Bal-
heit. Die Zeitverzogerung ist in der GroBen- kenanzeigen (Bargraph) mit 5, 10 ... 101 einzel-
ordnung von 100 ms beim Einschalten und nen LED-Elementen an (Bild 6-15).
6.4 Displays 263

6AA Numerische Anzeigen


Die am meisten verbreitete Form der numeri-
schen Anzeige ist die Siebensegment-Anzeige
nach Bild 6-39 (auch Bild 6-15). Durch entspre-
chende Auswahl der Segmente a bis g sind alle
b) 1 Zahlen sowie einige Buchstaben und Sonderzei-
chen erzeugbar.

LED-Displays
Bild6-37. Balkenanzeige: a) Leuchtpunkt, b) Leucht- LED lassen sich nach der in Bild 6-20 gezeigten
band. Art und Weise direkt aus TTL-Bausteinen an-
steuern. Die Ansteuerung der einzelnen Seg-
Liegen die Daten digital vor, dann geschieht die mente des Displays geschieht nach Bild 6-40 mit
Ansteuerung mit Hilfe eines 1-aus-n-Dekoders. Hilfe eines BCD-Siebensegment-Decoders, der
In Bild 6-38 a leuchtet die LED auf, deren Ka- die im BCD-Code ankommenden Daten deco-
thode durch den Decoder auf 0 gelegt wird. diert und entsprechend umsetzt. Da alle Seg-
Nach Bild 6-38 b kommt man zur Leuchtband- mente am selben Anodenpotential Hegen, kom-
anzeige dadurch, daB die Ausgange des Deco- men diejenigen zum Leuchten, deren Kathoden
ders durch UND-Gatter verkniipft werden. Da- auf 0-Potential gesetzt werden (es sind auch
durch leuchten auBer der ausgewahlten LED Decoder fiir gemeinsame Kathoden erhaltlich).
alle niederwertigen mit. Bei manchen Decodern mussen zwischen die
Zur Anzeige von analogen Spannungen bieten LED und die Decoderausgange Widerstande
diverse Hersteller Leuchtpunkt- bzw. Leucht- zur Strombegrenzung eingesetzt werden. Tabelle
bandtreiber als integrierte Schaltungen an. Da- 6-7 zeigt die Funktionstafel eines solchen Deco-
bei ist bei Spannungsanderung entweder ein ders. Verschiedene Decoder konnen auch Sede-
sprunghafter Ubergang von einer zur nachsten zimalzahlen (Hexadezimalzahlen) darstellen,
LED moghch oder ein kontinuierlich gleiten- allerdings mussen die Ziffern B (11) und D (13)
der. Es gibt auch Treiber, die eine logarith- als kleine Buchstaben dargestellt werden, weil
mische Anzeige ermoglichen. sie sonst von den Ziffern 8 und 0 nicht unter-
I-5V + 5V scheidbar sind.
Die Anzeige kann nicht nur im Parallelbetrieb
330 arbeiten, wo, wie eben beschrieben, alle leuch-
fD 33012 ^ tenden Elemente gleichzeitig geschaltet werden,
4- P—t CZI Kl I sondern auch im Zeitmultiplex, Nach diesem
A 8k^ ni- A
Verfahren werden vor allem auch mehrstellige
B B Anzeigen angesteuert, weil dadurch die Zahl
2
C_ C der Leitungen erheblich reduziert wird. So
4
D L)
8
waren beispielsweise fiir eine vierstellige An-
-^ 4- zeige (ohne Dezimalpunkt) im Parallelbetrieb
4 x 7 Kathodenleitungen, eine gemeinsame
0 4- 4- Anodenleitung und vier Decoder erforderlich.
Bild 6-38. Ansteuerung einer Balkenanzeige mit Beim Zeitmultiplex nach Bild 6-41 a sind nur
einem 1-aus-n-Decoder: a) Leuchtpunkt, b) Leucht- sieben Kathodenleitungen, 4 Anodenleitungen,
band. ein Siebensegment-Decoder sowie ein l-aus-4-

U: IL
Due c "i o
J I JU I UJU
o n
Bild 6-39. Siebensegment-Anzeige.
264 6 Optoelektronik

Tabelle 6-7 . Funktionstafel eines BCD -Siebensegment-Decoders

Zahl BCD-Eingang Segmente

D C B A
23 2^ 2^ 20 a b c d e f g
0 0 0 0 0 ein ein ein ein ein ein aus
1 0 0 0 1 aus ein ein aus aus aus aus
2 0 0 1 0 ein ein aus ein ein aus ein
3 0 0 1 1 ein ein ein ein aus aus ein
4 0 1 0 0 aus ein ein aus aus ein em
5 0 1 0 1 em aus ein ein aus ein ein
6 0 1 1 0 ein aus ein ein ein ein ein
7 0 1 1 1 ein ein ein aus aus aus aus
8 1 0 0 0 ein ein ein ein ein ein ein
9 1 0 0 1 em ein ein ein aus ein ein

ansteuerung, da sie ja beim Tastverhaltnis 1:4


nur wahrend einem Viertel der Zykluszeit pro
Zyklus in Betrieb sind. Ansteuerungsschaltun-
gen der beschriebenen Art werden von vielen
Herstellern voll integriert angeboten.

Fliissigkristallanzeigen (LCD)
Nach den Ausfuhrungen von Abschn. 6.4.2
miissen LCD zur Vermeidung von Degradation
immer mit Wechselspannung betrieben werden.
Dies wird in der Praxis dadurch erreicht, daB an
jede der beiden Elektroden eines Segments eine
Rechteckspannung gelegt wird. Je nachdem, ob
die beiden Rechteckspannungen gleich- oder
gegenphasig anliegen, liegt am Segment Span-
Bild6-40. Ansteuerung einer LED-Siebensegment- nung an oder nicht. Die praktische Realisierung
Anzeige mit einem BCD-Decoder. ist in Bild 6-42 a dargestellt. Die rechte Elek-
trode ist wie in Bild 6-35 fur alle Segmente ge-
Decoder erforderlich. Bei groBen Ziffernanzei- meinsam. Sie ist direkt mit dem Rechteckgene-
gen (acht und mehr Stellen) ist das Multiplex- rator verbunden. Die sieben Elektroden auf der
verfahren auf jeden Fall der Parallelansteue- Hnken Seite werden durch Exclusiv-ODER-
rung vorzuziehen. Ein Taktgeber mit Dualzah- Gatter angesteuert. Der Zeitverlauf der Span-
ler sorgt dafiir, daB die vier Anoden nach dem nungen ist in Bild 6-42 b fiir den Fall dargestellt,
Zeitschema von Bild 6-41 b jeweils hintereinan- daB das Segment a angesteuert werden soil. U^
der aktiviert werden. Im selben Takt werden die ist die Spannung an der rechten Elektrode, U^
Daten von der Logik dem Siebensegment-De- die an der linken, die zu U^ gegenphasig ist,
coder zugefuhrt, der die jeweils erforderlichen solange U^ auf 1 liegt. Wird U^ auf 0 gesetzt,
Kathoden aktiviert. Es leuchtet also momentan dann sind JJ^ und V^ gleichphasig. Die Span-
immer nur eine Ziffer auf. Trotzdem entsteht nung am Fliissigkristall l/pK = ^r ~ ^i ist da-
wegen der Tragheit des menschlichen Auges ein mit eine pulsierende Wechselspannung nur so-
stehendes Bild, wenn die Wiederholfrequenz lange, wie l/g auf 1 liegt.
entsprechend groB gewahlt wird. Es hat sich
gezeigt, daB fiir flimmerfreie Anzeigen die Takt- Das Multiplexen von LCD macht prinzipiell Schwie-
frequenz etwa 100 Hz nicht unterschreiten rigkeiten. Es sind aber Anzeigen auf dem Markt, wo
sollte. Der Strom durch die LED muB hier etwa mehrere Ziffern im Multiplexbetrieb angesteuert wer-
viermal so groB sein, wie bei der Parallel- den. Bei Multiplex-Anzeigen werden immer mehrere
6.4 Displays 265

a
b
c
^5
d
?5
ca -tl e
S^Q}
f
g
0
1
g.3 2
< J5J 3
Zyklus- Zeit
zeit
-^ ^

Kathodentreiber

Bild6-41. Zeitmultiplex-Ansteuerung einer vierstelligen LED-Anzeige: a) Schaltplan, b) zeitlicher Ablauf der


Schaltzustdnde fur die Darstellung der Zahl 1942.

a)
die Schwellenspannung U^^^. Das Segment, das akti-
viert werden soil, benotigt eine Spannung, die groBer
ist als l/gin- Beiin Triplex-Verfahren wird mit drei
Spannungspegeln gearbeitet: Alle Segmente, die ange-
steuert werden sollen, erhalten eine hohe, die anderen
eine niedrige Spannung.

6.4.5 Alphanumerische Anzeigen


Die 16-Segment-Anzeige nach Bild 6-43 ist eine
Erweiterung der 7-Segment-Anzeige. Damit
konnen auBer den Zahlen alle GroBbuchstaben
^ai
des Alphabets sowie verschiedene Sonderzei-
chen dargestellt werden. Decoder fur LED- und
UA LCD-Anzeigen werden von verschiedenen Her-
stellern angeboten. Bei sog. inteUigenten Dis-
plays sind alle erforderlichen Decoder, Treiber
t
^1, I
1 usw. in CMOS-Technik im Display integriert.
1 Fiir die Darstellung aller Buchstaben und Zah-
— 1 — len hat sich die 5 x 7-Matrixanzeige durchge-
setzt, die in Bild 6-44 a skizziert ist. Mit ihr las-
sen sich praktisch beliebige Zeichen darstellen.
Mit handelsiiblichen Zeichengeneratoren kann
FK angesteuert | FK nicht angesteuert man beispielsweise die in Bild 6-44 b gezeigten
Bild6-42. Ansteuerung eines 7-Segment-LCD: a) 96 ASCII-Zeichen sowie viele Sonderzeichen
Schaltung, b) Spannungsverldufe. erzeugen. Die einzelnen Elemente werden im
Zeitmultiplex geschaltet. Das bedeutet, daB
Segmente verbunden. Dadurch liegt auch an Segmen- zeitlich nacheinander die fiinf Spalten aktiviert
ten, die gar nicht angesteuert werden sollen, eine werden und synchron dazu die jeweiligen Zei-
Spannung. Diese muB nach Bild 6-36 kleiner sein als len.
266 6 Optoelektronik

Tabelle 6-8. Detektoren auf der Grundlage des


Fotoeffekts.
AuBerer Fotoeffekt innerer Fotoeffekt

nicht verstarkend

Fotokathode Fotowiderstand,
(Vakuum-Fotozelle) Fotodiode,
Fotoelement

verstarkend

Bild6-43. 16-Segment-Display fur alphanumerische Fotomultiplier (PM), Fotolawinendiode,


Anzeigen. (Sekundarelektronen- (Avalanche-Foto-
vervielfacher, SEV), Diode, APD),
a) O O O O O Bildverstarkerrohre Fototransistor,
(Bildwandler) Fotothyristor
ooooo
ooooo Wird ein Halbleiter mit Licht bestrahlt, dann
oo oo o geben die Photonen ihre Energie an gebundene
ooooo Elektronen ab, die - falls die Photonenenergie
oooo o dazu ausreicht - aus ihrer Bindung gerissen
o ooo o werden und sich dann frei im Halbleiter bewe-
gen konnen. Im Banderschema nach Bild 6-45
wird dieser ProzeB so dargestellt, daB mit Hilfe
der Photonenenergie ein Elektron aus dem
Valenzband hochgehoben wird ins Leitungs-
band. Da hierbei im Valenzband ein Loch zu-
riickbleibt, kann man folgern, daB jedes absor-
bierte Photon im Halbleiter ein Elektron-Loch-
Paar erzeugt. Damit dieser Vorgang ablaufen
kann, muB die Photonenenergie mindestens so
groB sein wie die Breite E^ der verbotenen
Zone:

Bild6-44. 5x7-Matrix-Display: a) Anordnung der E,.>E,. (6-30)


Punkte, b) Darstellung der 96 ASCII-Zeichen.
Das bedeutet fur die Wellenlange der absorbier-
ten Strahlung, daB sie kleiner sein muB als eine
6.5 Halbleiter-Detektoren Grenzwellenlange 1^, fiir die mit Gl. (6-1) und
(6-2) gilt:
6.5.1 Strahlungsabsorption in Halbleitern
Aus der Vielzahl von Detektoren fur elek-
tromagnetische Strahlung zeigt Tabelle 6-8 eine
Zusammenstellung der Detektoren, die auf dem
Fotoeffekt beruhen. Beim auBeren Fotoeffekt Fallt nach Bild 6-46 elektromagnetische Strah-
wird ein Elektron durch ein auftreffendes Pho- lung mit der Strahlungsleistung (PQ ^^^ einen
ton vollstandig aus dem Festkorper entfernt. Kristall, so wird durch die oben beschriebene
Das Photon mu6 dabei als Mindestenergie die Absorption der PhotonenfluB ins Kristalhnnere
Austrittsarbeit des betreffenden Materials besit- immer geringer. Die Abnahme der Strahlungs-
zen. Im folgenden sind ausschlieBHch Detekto- leistung d0 = ^{x + dx) — (P (x) innerhalb der
ren beschrieben, die auf dem inneren Fotoeffekt Strecke dx ist proportional zur Strahlungs-
beruhen. leistung an der Stelle x und zur Lange dx:
6.5 Halbleiter-Detektoren 267

Wellenlange X/jum
5 3 2 1,5 1,2 1 0,8 0,7 0,6 0,5 0,45
1 1 1 1 1 1 1 1 1 1 1 1
£ lU "
o
ci ^ ^ ^ y ^ y ^ ^ ' ^ ^ ^^ ^ ^
-10^- In As
a;
'N 1 /^^InPJ
% lO^-
1
o
c
.9 102-
/
J K
k /
Q.
I A
1
GaAs /CaP
O
11
Bild 6-45. Absorptionsakt
im Bdndermodell eines ;5 10^- —
0
1 —
-.-y- ^x-M-
1
r—1
2
1—1 r^—1 1 1 —
3
Halbleiters. Photonenenergie E^^ / e V

Bild 6-47. Absorptionskoeffizienten verschiedener


Halbleiter.

lange A = 700 nm nur noch der Bruchteil ^1% = 10""^


durchgelassen wird?

Losung:
Nach Bild 6-46 ist der Absorptionskoeffizient von Si
bei dieser Wellenlange a = 2,5 • 10^ cm~^ Aus Gl.
(6-32) folgt fiir die erforderliche Dicke d = (1/a) •
Bild 6-46. Verlauf der Strahlungsleistung in einem
ln(^o/$) = 36,8|am.
Halbleiter.

— d(P = a (P (x) dx. Dies wird damit begrundet, 6.5.2 Giitekriterien von Detektoren
daB die Wahrscheinlichkeit fiir Absorptions-
akte um so groBer ist, je mehr Photonen vor- Bevor auf die Funktion der Detektoren einge-
handen sind, also je groBer die Strahlungslei- gangen wird, sollen in diesem Abschnitt einige
stung ist. Durch Integration der obigen Glei- Begriffe geklart werden, welche die Giite eines
chung ergibt sich, daB die Strahlungsleistung Detektors betreffen und die beim Vergleich ver-
ins Kristalhnnere hinein exponentiell abnimmt: schiedener Detektoren herangezogen werden.

^(x) = ^oe"''^ Empfindlichkeit


Ist die Kristalldicke d, dann ist die Leistung der Im Sinne von Bild 6-1 sind Fotodetektoren
Strahlung, die den Kristall durchdringt, Wandler, die optische Signale (Energie) in elek-
trische umwandeln. Beschreibt Y die vom Wand-
^ = %Q (6-32) ler abgegebene elektrische AusgangsgroBe und
X eine GroBe, welche die Eigenschaften der auf
Die MaterialgroBe a wird als Absorptionskoeffi- den Wandler treffenden Strahlung charakteri-
zient bezeichnet. Der Absorptionskoeffizient ist siert, dann ist die Empfindlichkeit S (im eng-
ein MaB fur das Absorptionsvermogen einer hschen Responsivity) des Detektors als Quo-
Substanz und hangt ab von der Energie der tient der beiden GroBen definiert:
Lichtquanten, bzw. der Wellenlange der Strah-
lung. Bild 6-47 zeigt die Absorptionskoeffizien- S = Y/X. (6-33)
ten einiger Halbleiter in Abhangigkeit von der
Wellenlange der Strahlung. Deutlich ist die Fur Y wird meist der durch die Strahlung indu-
durch Gl. (6-30) und (6-31) beschriebene Schwel- zierte Fotostrom /pj, eingesetzt, manchmal auch
lenbedingung fur die Absorption sichtbar. eine Spannung. Die EingangsgroBe X ist meist
die auffallende Strahlungsleistung (P^. Eine ty-
Beispiel pische Empfindlichkeit einer Fotodiode ist bei-
6-5: Wie dick muB ein Siliciumkristall sein, damit von spielsweise S = 0,5 A/W. Die Empfindhchkeit
der auffallenden Strahlungsleistung % bei der Wellen- hangt stark von der Wellenlange ab, wie in den
268 6 Optoelektronik

folgenden Abschnitten noch gezeigt wird. Spe- der Zahlenwert derselbe bleibt (z. B. NEP =
ziell bei den Detektoren fur sichtbares Licht ist lO-^^WHz"^/^).
die BezugsgroBe X auch haufig die Beleuch-
tungsstarke E^. So findet man beispielsweise in Detektivitat (Nachweisvermogen)
Katalogen fur die Empfindlichkeit einer Foto-
Je geringer die rauschaquivalente Leistung
diode S = 50 nA/lx. Dabei muB angegeben wer-
eines Detektors, desto groBer ist seine Detek-
den, fiir welches Licht diese Angabe gilt. In der
tivitat (detectivity), d. h. seine Fahigkeit, Strah-
Regel ist der Wert bezogen auf Beleuchtung mit
lung nachzuweisen. Fiir die Detektivitat gilt
Normlicht A (Abschn. 6.2.2).
D = 1/NEP.
Rauschaquivalente Leistung (NEP) AuBer der bereits erwahnten Abhangigkeit der
rauschaquivalenten Leistung von der Band-
Jeder Detektor gibt ein mehr oder weniger ver- breite ist sie auch proportional zur Wurzel aus
rauschtes Signal ab, wobei das Rauschen ver- der Detektorflache: NEP ^ A^'^B^'^. Verschie-
schiedene Griinde haben kann: den groBe Detektoren lassen sich deshalb nicht
- Signalrauschen: Die Photonen treffen zeitlich miteinander vergleichen. Dies wird aber mog-
nicht gleichmaBig auf den Detektor, sondern hch mit der bezogenen Detektivitat
regellos statistisch verteilt.
^1/2^1/2
- Generations- und Rekombinationsrauschen:
Die Raten der Generation und Rekombina- D*=- (6-34)
NEP ''
tion von Ladungstragern zeigen regellose
Fluktuationen, so daB die Ladungstrager- die sich allgemein zur Charakterisierung durch-
dichte und damit beispielsweise der Ohm- gesetzt hat.
sche Widerstand eines Bauteils statistisch
schwankt. Beispiel
- Schrotrauschen: Bei Sperrschichtdetektoren
6-6: Die Fotodiode BPX61 hat bei X = 850 nm die
ruft jeder Ladungstrager, der die Sperrschicht bezogene Detektivitat D* = 6,2 • 10^^ cm Hz^^^ W " ^
iiberquert, einen Stromimpuls hervor. Das Die lichtempfindliche Flache betragt A = 6,75 mm^.
statistisch regellose Uberqueren (Schrotef- Welche Strahlungsleistung kann mit dieser Diode bei
fekt) manifestiert sich als Rauschen. einer Bandbreite von B = 1 Hz noch nachgewiesen
- Zusatzrauschen: In Detektoren mit interner werden?
Verstarkung (z. B. APD) ist die Verstarkung
regellos schwankend. Losung:
^1/2^1/2
Jeder Detektor gibt also eine gewisse Rauschlei- Nach Gl. (6-34) ist NEP = — = 4,2 • 10"^^ W.
stung ab, auch wenn keine Strahlung auf ihn
trifft. Damit eine Strahlung detektiert werden
kann, muB ihr Signal mindestens so groB sein, 6.5.3 Fotowiderstand
wie das Rauschsignal des unbeleuchteten De-
tektors. Die zugeordnete Leistung dieses klein- Der Fotowiderstand (Light Dependent Resis-
sten Signals wird als Rauschaquivalente Lei- tor, LDR) oder Fotoleiter ist ein passives Bau-
stung bezeichnet, abgekiirzt mit NEP (Noise element, dessen elektrischer Widerstand sich
Equivalent Power). Man versteht darunter die bei Bestrahlung verringert. Bei der Absorption
effektive Wechselleistung einer voU durchmodu- von elektromagnetischer Strahlung wird die
herten Strahlung, die im Detektor das Signal- Konzentration der freien Elektronen und Lo-
Rausch-Verhaltnis SjN = 1 erzeugt. Je kleiner cher vergroBert und damit auch die elektrische
die rauschaquivalente Leistung ist, desto emp- Leitfahigkeit. Fiir die Leitfahigkeit eines Halb-
fmdlicher ist der Detektor. Ein typischer Zah- leiters gilt:
lenwert bei einer Si-Fotodiode ist NEP =
10" ^"^W. Da die rauschaquivalente Leistung x = e{njii^-\-pfip); (6-35)
zur Wurzel aus der Bandbreite B der MeBein-
richtung proportional ist, wird haufig der auf n und p sind die Konzentrationen der freien
B^'^ bezogene Wert angegeben. UbHcherweise Elektronen und Locher, /!„ und fi^ die entspre-
ist die Bezugsbandbreite B = \ Hz, so daB chenden Beweghchkeiten.
6.5 Halbleiter-Detektoren 269

1st n^ die Elektronendichte bei Dunkelheit und lungsleistung bzw. Bestrahlungsstarke:


n^ bei Lichteinfall, dann gilt fur die Zunahme
der Elektronendichte: An = n^ — n^ (bei den Lo- AR^E^r^0^. (6-39)
chern ist Ap = p^—p^, mit Ap = An). Fiir die
Leitfahigkeit bei Beleuchtung gilt entsprechend Der Widerstand in beleuchtetem Zustand ist
x^ = x^-\- Ax, wobei die Anderung der Leitfa-
higkeit infolge Beleuchtung gegeben ist durch 1
^1 = ^ d (6-40)
Ax = eAn{fi^-^fi). (6-36) l+Ax/%d

Insbesondere bei groBer Beleuchtungsstarke ist


Die UberschuBdichte An der Elektronen folgt nach Gl. (6-40) der Widerstand des Bauteils um-
durch Losung der Differentialgleichung (6-13) gekehrt proportional zur Bestrahlungsstarke:
d{An)/dt = g — r = g — An/z . R,-E:K
Nach Gl. (6-35) hangt die Leitfahigkeit und da-
g ist die Generationsrate freier Elektronen, die mit der Ohmsche Widerstand nicht nur von
direkt proportional ist zur Bestrahlungsstarke der Ladungstragerkonzentration, sondern auch
Eg (bzw. zur Strahlungsleistung (PJ, r ist die Re- von den BewegHchkeiten ab. Bei Photoleitern
kombinationsrate und T die Lebensdauer der an- aus polykristallinem Material ist die Beweglich-
geregten Ladungstrager. Bei Bestrahlung mit keit von der Beleuchtung abhangig, so daB der
konstanter Bestrahlungsstarke ergibt sich im sta- Zusammenhang zv^ischen Widerstand und Be-
tionaren Gleichgewicht, d. h. bei d {An)/dt = 0 leuchtungsstarke recht komplex werden kann.
In der Praxis hat sich gezeigt, daB der Zusam-
An = gi '^ E^ (6-37) menhang zwischen Widerstand und Beleuch-
tungsstarke haufig durch ein Potenzgesetz der
Wird ein Plattchen nach Bild 6-48 bestrahlt, Form
dann verringert sich dessen Widerstand infolge
Bestrahlung: R^ = R^-AR. Mit R^ = l/{x^bd) R. (6-41)
und R^ = //(%! b d) ergibt sich fiir die Wider-
standsanderung beschrieben werden kann; y wird als Steilheit
bezeichnet. Bild 6-49 zeigt den Zusammenhang
Ax fiir einen CdS-Fotowiderstand. Aus dem Dia-
AR = R. (6-38)
XA -^ AX

uuuu 1 1 1
•V^
X. 1 11
>,
1 11
s >J
1000 JH 1
100

Bild 6-48. Bestrahlter Fotoleiter.

Ist bei geringer Bestrahlungsstarke die Ande- in


10 100 1000 10000
rung der Leitfahigkeit gering, verglichen mit der Beleuchtungsstarke E^ I Ix
Dunkelleitfahigkeit {Ax < x^), dann ist die Bild 6-49. Zusammenhang zwischen Widerstand ei-
Widerstandsanderung proportional zur Leit- nes CdS-Fotoleiters und Beleuchtungsstarke bei Be-
fahigkeitsanderung und damit nach Gl. (6-36) leuchtung mit einer Lichtquelle der Farbtemperatur
und (6-37) proportional zur absorbierten Strah- Tp = 2700 K (LDR05, RPY30, Philips Components).
270 6 Optoelektronik

gramm folgt, daB y in der GroBenordnung von Ein groBer Gewinn wird also erzielt, wenn die
1 ist. Lebensdauer der angeregten Elektronen groB
Aus der Widerstandsanderung eines LDR bei und die Transitzeit klein ist. In CdS-Foto-
Beleuchtung ergibt sich, daB in der Schaltung widerstanden lassen sich Gewinne bis G ^ 10^
nach Bild 6-48 eine Stromanderung einsetzt: erreichen. Die Transitzeit wird klein bei groBer,
angelegter Spannung und kleiner Lange. Eine
Aus dem Ohmschen Gesetz folgt mit Hilfe von hohe Lebensdauer T bedeutet zwangslaufig, daB
Gl. (6-36) und (6-40) fiir die Stromanderung das Bauelement langsam wird. Fur den Fall der
Beleuchtung des LDR mit sinusformig modu-
AI=U^{bd/l) e(M, + /ip)An. liertem Licht der Kreisfrequenz co wird der Ge-
winn frequenzabhangig analog zur Lichtemis-
Wird noch Gl. (6-37) eingesetzt, so folgt, daB die sion von LED (Gl. (6-19) und Bild 6-12 in Ab-
Stromanderung der Generationsrate g und so- schn. 6.3.2)
mit der Bestrahlungsstarke E^ proportional ist:
1
A/= U^{bd/l)e{fi^^iii^)gT. G= (6-45)
^Tr ^l^icOT^'
Die Generationsrate g kann aus der absorbier-
ten Strahlungsleistung 0^ berechnet werden: Nach Gl. (6-20) betragt die Grenzfrequenz /g^ =
0,276/T. Die meisten Fotoleiter sind relativ
g= {<PJhf){l/lbd)r,. langsam, so daB Modulation nur bis in den
{0^ /hf) ist die Zahl der Photonen, die pro Zeit- kHz-Bereich moghch ist.
einheit auf den Detektor fallen; rj ist die Quan- Die durch Gl. (6-33) defmierte Empfindhchkeit
tenausbeute, die angibt, wie viele Elektron- eines Fotowiderstands ist S = AI/0^. Mit Hilfe
Loch-Paare pro absorbiertem Photon gebildet von Gl. (6-42) und (6-43) ergibt sich
werden (praktisch ist f/^l). Damit wird die
Stromanderung

A/: (6-42) Bei konstanter Quantenausbeute und konstan-


tem Gewinn steigt die Empfindhchkeit also pro-
portional zur Wellenlange. In Wirkhchkeit ist
Diese Stromanderung kann ins Verhaltnis die Quantenausbeute von der Wellenlange ab-
gesetzt werden zum „primaren" Fotostrom hangig: Beispielsweise ist ^ = 0 fiir >1 > >lg, so
/ph = e i^e/hf) rj (das ist die durch die Strah- daB auch die Empfindhchkeit 5 (A) = 0 wird fiir
lung pro Zeiteinheit freigesetzte Ladung): A > /Ig. Bild 6-50 zeigt den Verlauf der Empfind-
hchkeit eines Fotowiderstands aus Cadmium-
sulfoselenid. Die Empfindhchkeit folgt in etwa
dem Hellempfmdlichkeitsgrad V{X) des mensch-
lichen Auges.
Beispiel
G wird als Gewinn (gain) des Fotoleiters be-
zeichnet. iU^/l)ii^ ist die Driftgeschwindigkeit 6-7: Wie groB ist die Empfindlichkeit eines Foto-
der Elektronen und I^/U^JLI^ = tj^ die Transit- widerstandes mit ^ = 1 und G = 1 bei >^ = 550 nm?
zeit, die ein Elektron braucht, um das Bauteil zu Losung:
durchqueren (entsprechendes gilt fiir die Lo-
cher). Daraus folgt, daB der Gewinn gegeben ist Aus GL (6-46) folgt S = 0,44 A/W. Tatsachlich kann
durch das Verhaltnis der Tragerlebensdauer x die Empfindlichkeit wesentlich grdBer, aber auch klei-
ner sein als dieser Wert, je nachdem, ob der Gewinn
zur Transitzeit tTr- groBer oder kleiner als 1 ist.
Fur den praktischen Gebrauch wird der Foto-
leiter gewohnhch nach Bild 6-51 in Reihe mit
einem Lastwiderstand R^^ mit einer Batterie ver-
6.5 Halbleiter-Detektoren 271

uu

/f A
/
1 I
1 ist also proportional zur Bestrahlungsstarke E^.
i\ Zum Nachweis von sichtbarem Licht sind vor

50

1
1 V \
allem intrinsische Fotowiderstande aus CdS
und CdSe geeignet. Sie werden haufig eingesetzt
in Belichtungsmessern, Dammerungsschaltern,
Flammenwachtern usw. Fiir den Nachweis von
/ \ infraroter Strahlung (IR-Spektroskopie, Um-
/ weltmeBtechnik, Pyrometer, IR-Bilderfassung)
/ \ \
\ kommen Halbleiter mit kleinerem Bandgap wie
/ \ PbS, InAs und InSb in Frage. Bild 6-52 zeigt
n
0,3
^
0,4
/
0,5
V
0,6 0,7 0,8
eine Zusammenstellung der Detektivitaten D*
einiger typischer Materialien. Fur Messungen
Wellenlange X/jum im fernen Infrarot werden extrinsische Foto-
Bild6-50. Relative Empfindlichkeit S^^^ (schwarz) leiter eingesetzt. Das sind dotierte Halbleiter
eines Fotoleiters (RPY63, Siemens) im Vergleich zum (meist Germanium), die bei tiefen Temperaturen
Hellempfindlichkeitsgrad V{X) (rot) des menschlichen betrieben werden, damit die Storstellen nicht
Auges.
thermisch ionisiert werden. Zur Absorption
kommt es, wenn die Energie der Photonen
groBer ist als die lonisierungsenergie der Stor-
stelle. Da diese Energien bei flachen Storstellen
sehr niedrig sind, kann Strahlung mit Wellen-
langen bis ca. 100 jim nachgewiesen werden.
10^^

10^'
_ncds
Bild6-5L Schaltung zum Betrieb eines Fotowider-
stands.
^10^=^ -'nsi

bunden. Das Licht wird im allgemeinen modu-


E1012 - lPbS,196K
liert (z. B. mit Hilfe eines Choppers) und erzeugt
1 L^'-ON InAs
im LDR eine wechselnde Widerstandsanderung 2 10^^
Ai^ gemaB Gl. (6-39). Die Signalspannung wird \InSb
uber i^L (oder LDR) abgegriffen und iiber einen ____\ Ge:Zn,5K
Kondensator einem Verstarker zugefiihrt. Die o
Q
Wechselspannung an R^^ betragt I
lO^h Ge\ Ge: AU,60K\.

R^AR 108 1
1
1 1
3 4
1 1
5 6
1
7
1 1
8
1
9 10
^S=^B
{R,^R^-AR){R,^R^)' Wellenlange X/jum
(6-47) Bild 6-52. Detektivitdt D* verschiedener Fotoleiter.

wobei R^ der Dunkelwiderstand des LDR ist. 6.5.4 Fotodiode


Fiir den Fall schwacher Lichtsignale, wenn
AR<^R^^- R^, wird das Signal maximal, wenn Wirkungsweise
der Lastwiderstand identisch ist mit dem Dun- Die Fotodiode ist ein aktives Bauelement, das
kelwiderstand des LDR: bei Bestrahlung eine elektrische Spannung
(fotovoltaischer Effekt) bzw. einen Fotostrom
^L=^d. (6-48) abgibt. Die Basis ist der pn-Ubergang, dessen
Banderschema in Bild 6-53 dargestellt ist. Wird
Das Signal betragt dann ein Photon mit ausreichender Energie in der
272 6 Optoelektronik

E k vom Ubergang entfernt erzeugt wird, so daB es


wahrend seiner Lebensdauer (bevor es durch
Rekombination verschwindet) an den Rand der
RLZ diffundieren kann, wo es dann vom elek-
trischen Feld erfaBt und ins p-Gebiet befordert
wird. Die Strecke, die ein Ladungstrager im
Mittel diffundieren kann, ist die Diffusionsldnge
Lp bzw. L^. Somit ist das aktive Gebiet der
Fotodiode (das Sammelvolumen) durch die
Summe der Diffusionslangen L^ + Lp be-
stimmt, die ein bis zwei GroBenordnungen
Bild6-53. Banderschema einer Fotodiode ohne
dufiere Spannung; die Lage des Fermi-Niveaus ist ge-
groBer ist als die Breite der RLZ.
strichelt eingezeichnet. Ai X9 Xo XA XC

Raumladungszone (RLZ) absorbiert, dann wird


ein freies Elektron im Leitungsband erzeugt
und ein freies Loch bleibt im Valenzband zu-
riick. Infolge des eingebauten elektrischen Fel-
des {Diffusionsspannung U^) werden die beiden
Ladungstrager sofort getrennt, und zwar wird
das Loch zur p-Seite, das Elektron zur n-Seite
befordert. Diese Ladungstrennung geht ohne n-Zone
auBere Spannung vonstatten, kann aber durch
Anlegen einer Spannung beeinfluBt werden. Bild 6-54. Absorption von Strahlung verschiedener
Wird die Diode mit offenen Enden betrieben Wellenldnge in einer Fotodiode; A^ < yl2 < >^3 < ^A
bzw. mit einem sehr hochohmigen Lastwider-
stand, dann ladt sich die p-Seite positiv, die
n-Seite negativ auf. Die Diffusionsspannung Wird der pn-Ubergang mit monochromati-
wird abgebaut und an den Enden ist die Leer- scher Strahlung der Strahlungsleistung ^^ be-
laufspannung U^ abgreifbar. Die maximale strahlt, dann ist der PhotonenfluB N = ^^/(hf).
Leerlaufspannung ist zwangslaufig immer klei- Unter der Voraussetzung, daB jedes Photon ein
ner als die Diffusionsspannung, so daB gilt: Elektron-Loch-Paar erzeugt, das zum Foto-
[/L < ^d t>zw. L/L < ^g/^- Dioden mit groBem strom beitragt (Quantenausbeute rj = 1), ergibt
Bandabstand E^ liefern eine groBe Leerlauf- sich der Fotostrom 1^^^ = eN = {e0^)/(hf). In
spannung 1/L- realen Bauelementen ist aber die Quantenaus-
Werden die Enden der Diode kurzgeschlossen, beute rj, d. h. die Zahl der Fotoelektronen pro
dann flieBt im auBeren Stromkreis der Foto- absorbiertem Photon, kleiner als eins, so daB
strom /ph (KurzschluBstrom 7^), der die Rich- fiir den Fotostrom gilt:
tung eines Sperrstroms hat. Zum Fotostrom
tragen nicht nur die Ladungstrager bei, die in- 0
(6-50)
nerhalb der Raumladungszone (RLZ) erzeugt
werden. Sie ist ohnehin so dunn, daB die Wahr-
scheinlichkeit dafur, daB ein Photon gerade in Der Fotostrom hangt also linear von der absor-
diesem Gebiet absorbiert wird, sehr gering ist. bierten Strahlungsleistung ab. Diese wichtige
Photonen, die beidseitig der RLZ absorbiert Eigenschaft einer Fotodiode wurde experimen-
werden, konnen aber dennoch zu einem Foto- tell liber einen Bereich von mehr als acht Zeh-
strom fuhren. Wird nach Bild 6-54 ein Photon nerpotenzen bestatigt. Bild 6-55 zeigt den Zu-
beispielsweise im n-Gebiet absorbiert (rot) und sammenhang zwischen Fotostrom und Be-
erzeugt dort ein Elektron-Loch-Paar, so tragt leuchtungsstarke fur eine Si-Fotodiode. Ist die
es nur dann zum Fotostrom bei, wenn das Loch Beleuchtungsstarke homogen, dann wachst der
iiber den Ubergang hinweg ins p-Gebiet ge- Fotostrom proportional zur lichtempfmdlichen
langt. Dies gehngt, wenn das Loch nicht zu weit Flache der Fotodiode.
6.5 Halbleiter-Detektoren 273

DaB die Quantenausbeute von der Wellenlange


abhangt, geht schon aus Bild 6-54 hervor: Pho-
tonen hoher Energie (kurze Wellenlange 1^)
haben einen groBen Absorptionskoeffizienten
(Bild 6-47) und bleiben deshalb unmittelbar an
der Oberflache stecken; ihre Quantenausbeute
ist also f] = 0. Umgekehrt haben Photonen klei-
ner Energie (groBe Wellenlange A5) eine groBe
Eindringtiefe, so daB ihre erzeugten Elektron-
Loch-Paare auch nicht zum Fotostrom beitra-
gen. Wird die Wellenlange >^ > Ag nach Gl. (6-
31), dann werden die Photonen ohnehin nicht 400 600 800 1000 1200 1400 1600 1800
mehr absorbiert, der Kristall wird „durchsich- Wellenlange X/nm
tig". Der typische Verlauf der Quantenausbeute Bild 6-56. Typischer Verlauf der Quantenausbeute
rj{X) ist fur verschiedene Fotodioden in Bild Y]{X) (rot) und der Empfindlichkeit S{X) von Fotodi-
6-56 dargestellt (rot). oden aus verschiedenen Materialien.
Die in Gl. (6-33) defmierte Empfindlichkeit ei- Beispiel
ner Fotodiode folgt unmittelbar aus Gl. (6-50):
6-8: Die relative Empfindlichkeit der Fotodiode
BPY12 bei der Wellenlange X = 500 nm betragt nach
Herstellerangabe S^^i = 37%. Die maximale Emp-
findhchkeit bei X = 850 nm ist 5^^^ = 0,60 A/W. Wie
groB ist die EmpfindHchkeit und die Quantenaus-
beute der Fotodiode bei X = 500 nm?
Sie ist ebenfalls in Bild 6-56 dargestellt
(schwarz). Losung:
Die Empfindlichkeit betragt S (500 nm) = 5^^^ • 5,,i
= 0,22 A/W.
m l
10 Nach Gl. (6-51) ist die Quantenausbeute r](X) =
fi
S hc/eX = 55Vo. Von jeweils 100 Photonen tragen
- 4 fl also 55 zum Fotostrom bei.
M/ 1
rJ Die Strom-Spannungs-Kennlinie der Foto-
-- q diode geht aus der bekannten Kennlinie einer

< lo-i
-
N/ M
4^ normalen Diode hervor. Wie eingangs gezeigt
wurde, ist der Fotostrom /p^ ein von der Be-
-- w
4f leuchtung abhangiger Sperrstrom. Das bedeu-
•^•^ 1 tet, daB die Kennlinie einer Fotodiode mit zu-
E -
0
V*A nehmender Beleuchtungsstarke nach unten ver-
fj schoben wird, wie es in Bild 6-57 a dargestellt
U-
y\^/
1 ist. Wird die Diodenkennlinie durch die Shock-
f/ ley sche Gleichung I = I^{Q^^'^^ — 1) beschrie-
f
10-3 //
/V
ben (/s ist der Sperrsattigungsstrom), so gilt fiir
y\ eine Fotodiode
4
/ = /s(e^^/^^-l)-U. (6-52)
10-^ A I I 1 1 1 1 1 ilil _ LU
10-2 10-^ 10° 10^ 102 103
Beleuchtungsstarke E^ 1 Ix
1 I I I I I I I I 1 Im Leerlauf (/ = 0) ist bei Beleuchtung an den
0,00 05 0,001 0,01 0,1 1 5 Anschliissen der Diode der Leerlaufspannung
Bestrahlungsstarke £g/mWcm'2
1/L (Bild 6-57 a) abgreifbar. Aus Gl. (6-52) folgt
unmittelbar
Bild 6-55. Zusammenhang zwischen Fotostrom I^^
und Beleuchtungsstarke E^ bzw. Bestrahlungsstarke E^
fur eine Silicium-Fotodiode (BPW32, Siemens) bei
Beleuchtung mit Normlicht A.
274 6 Optoelektronik

700 140

600 120

> 500 100 <


E ^K

,400 80

^L
S-300 60 -^

200 40

100 20

300
0 500 1000
Beleuchtungsstarke E^/\x
\ 500 Bild 6-58. Leerlaufspannung U^ und Kurzschlufi-
strom I^ in Abhdngigkeit von der Beleuchtungsstdrke
E^ bei Beleuchtung einer Si-Fotodiode (BPY 12, Sie-
1 '7'=in
1 ZD«J mens) mit Normlicht A.
200
die Betriebszustande Elementbetrieb und Di-
1 nn0
odenbetrieb. Im Elementbetrieb wird die Foto-
diode ohne auBere Spannungsquelle direkt an
einen Lastwiderstand R^ (Verbraucher) ange-
50 H schlossen. Die Diode arbeitet als Stromgenera-
tor im vierten Quadranten des Kennlinienfeldes
100 von Bild 6-57 a und wird als Fotoelement bzw.
500 J
Solarzelle bezeichnet. Beide sind im Prinzip
gleich; die Solarzelle ist aber fur groBe Leistun-
r = 250 Ix gen ausgelegt und speziell fur das Sonnenspek-
V trum optimiert (Abschn. 6.5.5). Der Arbeits-
y^^ punkt A in Bild 6-57 a ergibt sich als Schnitt-
punkt der Widerstandsgeraden / = — U/Ri^ mit
5 10 15 20 25 der Diodenkennlinie. Die Leistung, die der
Sperrspannung U^IV Zelle entnommen werden kann, ist P (A) =
U{A)\I (A) I und hangt von der Lage des Ar-
Bild 6-57. Kennlinien einer Fotodiode: a) komplettes
Kennlinienfeld (qualitativ), b) Fotostrom I^^ als Funk- beitspunktes A ab. Durch Variation von R^^
tion der Sperrspannung U^fur die Fotodiode BPY12 kann die abgegebene Leistung optimiert wer-
(Siemens). Parameter ist die Beleuchtungsstdrke E^ den. Fotoelemente haben meist gegeniiber Fo-
bei Beleuchtung mit Normlicht A. todioden einen vergleichsweise geringen Innen-
widerstand.
Wenn also, wie bereits erlautert, der Fotostrom Beim Diodenbetrieb wird die Diode (Fotodiode
linear mit der Beleuchtungsstarke zunimmt, im engeren Sinne) mit einem Lastwiderstand R^
dann wachst nach Gl. (6-53) die Leerlauf- in Reihe nach Bild 6-59 an eine Batterie ange-
spannung logarithmisck Im KurzschluBbetrieb schlossen, wobei die Batteriespannung in Sperr-
((7 = 0) flieBt der KurzschluBstrom /K (Bild richtung anUegt. Der Arbeitspunkt B in Bild
6-57a), der identisch ist mit dem Fotostrom: 6-57 a stellt sich als Schnittpunkt der Wider-
/K = — /ph. Leerlaufspannung (7L ^^^ Kurz- standsgeraden / = (L/B — U)/Ri^ mit der Kenn-
schluBstrom /K sind in Bild 6-58 als Funktion Hnie ein und liegt im dritten Quadranten des
der Beleuchtungsstarke E^ dargestellt. Kennlinienfeldes. Es ist in der Praxis iiblich,
Je nach auBerer Schaltung unterscheidet man den dritten Quadranten in den ersten zu verle-
6.5 Halbleiter-Detektoren 275

Temperatur empfmdhcher, was in einer Zu-


±''/ nahme des Fotostroms zum Ausdruck kommt.

He ^L
Bei einer Si-Fotodiode beobachtet man einen
Anstieg des Fotostroms um etwa 0,12 bis
0,20%/K.
^L
Das Zeitverhalten einer Fotodiode wird durch
I verschiedene Mechanismen bedingt, deren Zu-
sammenwirken dazu fiihrt, daB sie einer sprung-
Bild6-59. Schaltung einer Fotodiode.
haften Anderung der Strahlungsleistung (P^
gen, so daB in Datenblattern Kennlinienfelder nicht spontan folgt, sondern wie in Bild 6-60
in Form von Bild 6-57 b zu finden sind. Bei skizziert, mit einer gewissen Zeitverzogerung.
Anderung der Beleuchtungsstarke andert sich Zur Zeitverzogerung tragen bei:
der Strom, so dafi am Lastwiderstand die Span- - TRC- Die /^C-Zeitkonstante zur Umladung der
nung / KL abgreifbar ist, die der Beleuchtungs- Sperrschichtkapazitat Cj iiber den Lastwi-
starke proportional ist. derstand KL (und evtl. einen Serienwider-
stand Kg der Diode), TR^ = CJ/^L. Die Zeit-
Beispiel konstante wird klein bei kleinem Lastwider-
6-9: Die Fotodiode von Bild 6-57 b wird in einer stand (in der Praxis wahlt man haufig
Schaltung nach Bild 6-59 mit gechopptem Licht der RL = 50 Q) und bei kleiner Sperrschichtkapa-
Beleuchtungsstarke E^ = 500 Ix beleuchtet. Die Bat- zitat (normale pn-Ubergange haben Kapazi-
teriespannung betragt U^ = 20 V, der Lastwiderstand taten von einigen 100 pF). Bei Anlegen einer
K L = 33 kQ. Wie groB ist die am Widerstand abgreif- Sperrspannung verringert sich die Sperr-
bare Signalspannung (7L^ schichtkapazitat und somit auch die Zeitkon-
stante.
Losung: -Tpiff: Wie bereits eingangs beschrieben, miissen
In Bild 6-57 b ist die Widerstandsgerade fiir Ladungstrager, die auBerhalb der Raumla-
KL=33kQ bereits eingezeichnet. Aus dem Dia- dungszone, also in den feldfreien Gebieten
gramm kann abgelesen werden, daB bei E^ = 500 Ix generiert werden, bis zur RLZ diffundieren.
der Fotostrom I^^ = 85 )iA betragt. Damit betragt die Dieser DiffusionsprozeB ist naturgemaB rela-
Signalspannung L/L= /p^ ^L== 2,81 V. tiv langsam. Die Zeitkonstante ist proportio-
nal zum Quadrat der Weite L des Diffusions-
Das Betriebsverhalten der Fotodiode ist von gebiets: T^iff = L^/2 D {D ist die Diffusions-
der Temperatur abhangig. Am starksten wird konstante). Zum Diffundieren durch eine
der Dunkelstrom I^ beeinfluBt, der wie der Sperr- Strecke von der Lange der Diffusionslange
benotigt ein Ladungstrager eine Zeit, die sei-
sattigungsstrom /^ exponentiell mit zunehmen-
ner Lebensdauer entspricht. In Sihcium ist
der Temperatur ansteigt. Pro A r = lOK Tem- diese in der GroBenordnung von i^iff « 1 |as.
peraturerhohung steigt der Dunkelstrom um
den Faktor 2 bis 4 an. Dadurch nimmt auch das ^e^ 1

Rauschen zu, so daB der NEP-Wert wachst und


die Detektivitat D* abnimmt. Gl. (6-53) zeigt,
daB die Leerlaufspannung (7L reduziert wird,
wenn der Sperrsattigungsstrom wachst. Das
bedeutet, daB die Leerlaufspannung mit zu- t
nehmender Temperatur abnimmt. Experimen-
^Ph I
tell ergibt sich eine Abnahme von AUJAT
^ — (2,5 ... 3,5) mV/K. Da mit steigender Tem- 0,9/,3x
i^ 1 \
peratur das Bandgap E^ abnimmt, verschieben
sich in Bild 6-56 die Kurven fiir die Quanten-
ausbeute und die Empfindlichkeit zu hoherer
Wellenlange. Das bewirkt, daB die Fotodiode
fiir kurzwellige Strahlung weniger empfmdlich, O'l ^max

fiir langwellige Strahlung aber empfmdlicher t


— f^—^ - f f —
wird. Insbesondere wird die Fotodiode bei Be- — 1
leuchtung mit Normlicht A mit zunehmender Bild 6-60. Schaltzeiten einer Fotodiode.
276 6 Optoelektronik

Fiir das Uberqueren der Raumladungszone nachlassigbar. Sie wird dann also im wesent-
der Weite w mit der Driftgeschwindigkeit lichen durch die Driftzeit Xj^^^^, = w/v^ bestimmt.
t^DHft benotigt ein Ladungstrager die Zeit ^m die 3 dB-Grenzfrequenz gilt naherungs-
'^Drift = W^Drift' ^^^ groBei Feldstarke bewe-
gen sich die Ladungstrager mit der Satti- weise
gungsdriftgeschwindigkeit v^; diese betragt in
Silicium: fg^lO^m/s. Die Transitzeit zum
Durchqueren einer w = 1 |^m breiten Zone ist
%rift = 10ps.
Beispiel
Die drei Verzogerungsmechanismen addieren
sich zu einer Zeitkonstanten 6-10: Wie groB ist die Transitzeit der Ladungstrager,
um eine w = 10 |xm dicke i-Zone in Silicium zu iiber-
queren und welche Grenzfrequenz ergibt sich daraus
'^ — T^RC + '^Diff
Diff + '^1
'^ Drift • (6-54) fiir die Fotodiode?

Bei normalen pn-tjbergangen liegt diese Zeit- Losung:


konstante in der GroBenordnung von T ?^ 1 jis, Die Zeitkonstante betragt Thrift = W^s = 100 ps. Nach
was zu einer Grenzfrequenz von /g^ ^ 1 MHz obiger Gleichung ist die zugehorige Grenzfrequenz
fiihrt. Kommerzielle Fotodioden aus Silicium 4 = 4,4 GHz.
zeigen Grenzfrequenzen von /g^ = 200 kHz bis
50 MHz, Fotoelemente sind langsamer mit Infolge der groBen Weite der i-Zone wird die
/g, = 25 bis 100 kHz. In der Regel ist der lang- Sperrschichtkapazitat der pin-Diode sehr klein
same DiffusionsprozeB fur das Zeitverhalten (einige Zehntel pF bis einige pF), so daB die
ausschlaggebend. Eine wesentliche Verbesse- KC-Zeitkonstante ebenfalls sehr klein wird.
rung bringt hier die pin-Struktur. Nach Bild 6-54 haben Photonen langwelliger
Strahlung eine groBe Eindringtiefe und konnen
pin-Fotodiode deshalb mit pin-Dioden breiter i-Zone besser
nachgewiesen werden als mit normalen pn-
Die pin-Diode besteht nach Bild 6-61 aus einer Fotodioden. Diese groBere IR-Empfindlichkeit
breiten eigenleitenden Schicht (i: intrinsic), die geht aber auf Kosten der Schnelligkeit, denn die
beidseitig von einem hochdotierten p"^- bzw. Zeitkonstante fur das Uberqueren der i-Zone
n^-Gebiet eingeschlossen ist (Sandwich-Struk- steigt mit zunehmender Breite ebenfalls an. Bei-
tur). Bei geniigender Breite w werden die mei- spielsweise hat eine pin-Fotodiode aus Silicium
sten Photonen in der i-Zone absorbiert und er- mit einer w = 0,7 mm breiten i-Zone eine groBe
zeugen dort je ein Elektron-Loch-Paar. Da die Empfindhchkeit bei A = 1,06 |im (der Wellen-
angelegte Sperrspannung praktisch voUstandig lange des Nd-YAG-Lasers); die Transitzeit be-
liber der i-Zone abfallt, werden die Ladungstra- tragt dabei Torift = 7 ns und die Grenzfrequenz
ger durch das elektrische Feld getrennt und 4 = 63 MHz.
driften relativ rasch (mit Sattigungsdriftge-
schwindigkeit v^) in das angrenzende n- bzw. Sihcium- und Germanium-Fotodioden mit be-
p-Gebiet. Werden die p^- und n^-Gebiete hin- sonders dicker i-Zone (mehrere Millimeter)
reichend diinn gemacht, so ist der EinfluB des werden in der StrahlenmeBtechnik eingesetzt
Diffusionsvorganges auf die Zeitkonstante ver- zum Nachweis von Rontgen- bzw. Gamma-
strahlung. Die dicke i-Zone wird durch einen
Antireflexschicht
DriftprozeB von Lithium in ursprunglich p-lei-
tendes Material hergestellt. Da Lithium sehr
diffusionsfreudig ist, muB zur Erhaltung der Di-
odenstruktur das Bauteil in einem Kryostaten
mit fliissigem Stickstoff auf T = 77 K gekiihlt
werden.

Lawinenfotodiode
Kontakt Bei der Lawinenfotodiode oder kurz APD
Bild 6-61. Aufbau einer pin-Fotodiode. (Avalanche Photo Diode) werden durch Photo-
6.5 Halbleiter-Detektoren 277

nen freigesetzte Ladungstrager lawinenartig Die Wahrscheinlichkeit, daB durch ein Elektron
vermehrt, wenn die Diode bei hoher Sperrspan- Oder Loch auf der Strecke dx eine StoBionisa-
nung betrieben wird. Bild 6-62 zeigt einen tion verursacht wird, ist oc^^dx bzw. apdx; oc^
Schichtaufbau, wie er in Si-APD gebrauchlich und ap sind die lonisationskoeffizienten der
ist. Auf einem p^-Substrat wird sehr reines Elektronen bzw. Locher, die exponentiell von
TT-Material abgeschieden (71 bedeutet nahezu in- der Feldstarke abhangen. In Bild 6-62 ist der
trinsisches p-Material) und schlieBlich durch Fall eines Halbleiters mit a^ > oc^ skizziert, wie
Diffusion eine p-Zone sowie eine hochdotierte er beispielsweise in Silicium vorHegt. Das be-
n "^ -Zone erzeugt. Wird durch ein Photon in der deutet, daB praktisch nur die Elektronen in der
Ti-Zone (Absorptionsgebiet) ein Elektron-Loch- Lage sind, StoBionisationen durchzufiihren.
Paar erzeugt, dann driftet das Elektron nach Die bei der StoBionisation erzeugten Locher
Hnks in das p-Gebiet, wo es durch die hohe laufen zwar in Richtung p"^-Zone, sind aber
elektrische Feldstarke stark beschleunigt wird. nicht in der Lage, unterwegs neue Elektron-
Ist seine kinetische Energie groBer als E^, dann Loch-Paare zu schaffen. Der durch ein Photon
besteht die Moghchkeit, daB bei einem Zusam- erzeugte Strompuls reiBt dann ab, wenn das
menstoB mit einem anderen Elektron dieses aus letzte erzeugte Loch am p "^ -Kontakt angekom-
seiner Bindung gerissen und ins Leitungsband men ist. Sind die lonisationskoeffizienten fur
gehoben wird. Dieser als StoBionisation be- Elektronen und Locher in derselben GroBen-
zeichnete Vorgang ist der zum Auger-Effekt ordnung, d. h. a^ ;^ ap, dann konnen natiirhch
(Abschn. 6.3.1) inverse ProzeB. Das primar vor- auch die Locher auf ihrem Weg zum p ^ -Gebiet
handene und das durch Stofiionisation erzeugte StoBionisationen durchfuhren. Die Folge ist,
freie Elektron werden erneut beschleunigt und daB bei genugender Breite der Multiplikations-
konnen bei geniigender Strecke wider so viel zone {d > 1/a) die Lawine nicht mehr abreiBt:
Energie aufnehmen, daB sie durch StoBionisa- es kommt zum Durchbruch. APD aus solchen
tion neue Elektron-Loch-Paare schaffen kon- Substanzen sind sehr instabil und zeigen erheb-
nen. Bei geniigender Breite der Multiplikations- liches Rauschen. Gut kontrollierbare Verhalt-
zone kann sich dieser Vorgang mehrmals wie- nisse Hegen nur dann vor, wenn die Ladungstra-
derholen und so zu einer Ladungstragerlawine gersorte mit dem groBeren lonisationskoeffi-
fiihren. Die Verstarkung des primaren Foto- zienten in die Multiplikationszone injiziert
stroms l^^^ = {0^/hf)erj wird durch den Multi- wird. In Silicium sind dies die Elektronen, in
plikationsfaktor M beschrieben: Germanium die Locher.
Der Multiplikationsfaktor M hangt von der an-
I = I,^M, (6-56) gelegten Sperrspannung U^ ab. Bild 6-63 zeigt
den typischen Verlauf fur eine Si-APD. Bei klei-
nen Spannungen Hegt das Feld praktisch voll-
standig im Multiplikationsgebiet, so daB mit
steigender Spannung der Multiplikationsfaktor
steil ansteigt. Ab etwa 60 V beginnt sich das
Feld ins 7i-Gebiet auszudehnen, das bei etwa
lOOV vollstandig ausgeraumt ist. Die Verstar-
kung steigt jetzt langsamer an auf Werte von
einigen hundert. Der Verlauf der Kurve ober-
•^^ iMultiplikation halb des Knies laBt sich naherungsweise be-
schreiben durch
1
M (6-57)

N^r
IVl —
1-

U^ ist die angelegte Sperrspannung, IR^ der


Bild6-62. Lawinenfotodiode (APD): a) Aufbau, Spannungsabfall uber dem Serienwiderstand
b) Feldstdrkeverteilung. Die p-Zone ist in Wirklichkeit der Diode, m ist ein durch Anpassung zu be-
sehr viel schmaler als die n-Zone. stimmender Faktor, der von der Diodenstruk-
278 6 Optoelektronik

103
3401 160 GHz. Praktisch werden bei Si-APD etwa
3201 1 200 GHz, bei Ge-APD etwa 30 GHz erreicht.
3001 Obwohl die Lawinendiode infolge des inneren
1 1
Verstarkungsmechanismus eine hohe Empfind-
7=27 3 K / /
lichkeit besitzt, kann das Signal-Rausch-Ver-
102 haltnis bei groBen Multiplikationsfaktoren ab-
nehmen, weil die Rauschleistung bei groBer
Verstarkung anwachst. Nach Schottky ist das
mittlere Rauschstromquadrat des Schrotrau-
schenseiner Fotodiode ohne innere Verstar-
ilO^ kung il = 2eI^^B; dabei ist I^^ der mittlere
Fotostrom. Bei der APD ist der Strom
I = MI^i^, so daB das Rauschstromquadrat
ebenfalls um M^ vergroBert wird. Tatsachhch
ist nun aber der Verstarkungsfaktor nicht kon-
stant, sondern infolge der statistischen Natur
10°
100 200 300 400 500 des Multiplikationsprozesses zeithch stark
Sperrspannung U^IM schwankend. Der bisher benutzte Verstar-
Bild6-63. Typischer Verlauf des Multiplikationsfak- kungsfaktor M ist also im Grunde nur als Mit-
tors M einer Si-APD als Funktion der angelegten telwert M fur groBe Zeitraume defmiert. Die
Sperrspannung U^. Fluktuationen der Multiplikation auBern sich
tur, dem Material und der Beleuchtung abhangt in zusdtzlichem Rauschen der APD:
(m<l).
^S,APD = 2 ^ / p h 5 M
Das Zeitverhalten der APD wird bestimmt
durch die Driftprozesse in der Verarmungszone = 2eI^^BM^F{M). (6-60)
und den Multiplikationsvorgang. Tragen Elek-
tronen und Locher zur Multiplikation bei, dann F(M) = M^/M^ wird als Zusatzrauschfaktor
wird bei groBem Multiplikationsfaktor eine bezeichnet. Er hangt ab vom mittleren Verstar-
lange Zeit verstreichen, ehe die Lawine ab- kungsfaktor M (korrekter M) und vom Verhalt-
bricht, die APD reagiert also relativ langsam nis k = oCp/oCn der lonisationskoeffizienten der
auf Anderungen der Strahlungsleistung. Ande- Elektronen und Locher (ist a > a„, wie z. B. in
rerseits ist bei kleiner Verstarkung die Diode Ge Oder InP, dann ist k •• (xjoc^). Nach Mcln-
relativ schnell. Bei sinusformiger Modulation tyre gilt
der Strahlungsleistung mit der Kreisfrequenz (D
ist der Multiplikationsfaktor M frequenzab- F(M) = / c M + (l-/c) ( 2 - 1 / M ) . (6-61)
hangig gemaB
Diese Funktion ist in Bild 6-64 dargestellt. Aus
dem Diagramm folgt F{M) = M fiir a^ = a^,
also fur k = l. Der Zusatzrauschfaktor wachst
also linear mit der Verstarkung an. Andererseits
Mo ist der statische Verstarkungsfaktor; die ist er bei /c = 0 maximal F = 2. Daraus ergibt
Zeitkonstante T ist in der GroBenordnung der sich wieder die bereits friiher erhobene Forde-
Laufzeit der Ladungstrager in der Multiplika- rung, daB APD aus Materialien hergestellt wer-
tionszone. Aus GL (6-58) folgt fur die Band- den miissen, bei denen die lonisationskoeffi-
breite B, d. h. die obere Grenzfrequenz, bei wel- zienten der Elektronen und Locher moglichst
cher der Strom um 3 dB zuruckgeht: unterschiedlich sind. Dabei muB die Ladungs-
tragersorte mit dem groBten lonisationskoeffi-
zienten in die Multiplikationszone injiziert wer-
den.
In dieser Hinsicht ist Silicium ein hervorragen-
Ist beispielsweise T = 1 ps, dann ergibt sich das des Material fur APD, da /c = ap/a„ < 0,1. Lei-
Verstdrkungs-Bandbreite-Produkt zu MQ B = der ist es fiir Wellenlangen A > 1,1 |im nicht ein-
6.5 Halbleiter-Detektoren 279

SiO-, AuSn
b)
10-^
10 100 1000 100^
Multiplikationsfaktor M

Bild6-64. Zusatzrauschfaktor F{M) einer APD in 10-^


Abhdngigkeit vom mittleren Multiplikationsfaktor M. 10 .2
Die rote Kurve gilt ndherungsweise Jur Silicium.
<io-^
setzbar, well keine Absorption mehr stattfindet. 1 ^
Fiir Wellenlangen von 1,1 [im bis 1,6 |im, wie sie E
o
in der optischen Nachrichtentechnik vorkom-
men, bieten sich APD aus Germanium an. Ge-
APD haben einen hohen Zusatzrauschfaktor,
weil ap nur wenig groBer ist als a^. AuBerdem 10-^
haben sie einen hohen Dunkelstrom (im jiA-
Bereich). ZusatzUche technologische Schwierig-
keiten entstehen mit der Passivierung der Ge-
10-^'
Oberflache. Aus diesen Griinden wurden APD
aus ternaren oder quaternaren III-V-Mischkri- 0 10 20 30 40 50 60
Sperrspannung U^iy
stallen entwickelt, die sehr vielversprechende
Eigenschaften aufweisen. Bild 6-65 a zeigt den Bild 6-65. SAM-APD aus InGaAsPI InP: a) Aufbau
Aufbau einer Mesa-APD aus InGaAsP/InP. als Mesa-Diode, b) do-Dunkelstrom und Fotostrom
Bei dieser Diode ist das Absorptionsgebiet im sowie HF-Verstdrkung bei f= 1 GHz in Abhdngigkeit
InGaAsP vom MultipUkationsgebiet im InP von der Sperrspannung.
Werkbild: SEL.
raumUch getrennt (SAM-APD: Separated Ab-
sorption and Multiphcation region). Dies hat Energieumwandlung hat die Solarzelle groBe
den Vorteil, daB bei der hohen elektrischen Zukunftschancen, so daB viel Forschungsarbeit
Feldstarke im Multiphkationsgebiet der Halb- bei der Entwicklung von Solargeneratoren auf-
leiter InP mit seinem groBen Bandgap einen gewendet wird. Die Arbeiten befassen sich vor
wesenthch kleineren Tunnelstrom aufweist, als allem mit der Verbesserung des Wirkungsgrads
dies im InGaAsP der Fall ware. Bild 6-65 b zeigt und der Senkung der Herstellungskosten. Die
den Dunkelstrom und den Fotostrom bei Be- groBte Bedeutung haben derzeit Solarzellen aus
strahlung mit nichtmodulierter Strahlung, so- Sihcium, daneben werden Zellen aus anderen
wie die Verstarkung bei 1 GHz. Materiahen entwickelt.

6.5.5 Solarzelle Wirkungsweise


Die Solarzelle ist ein Bauelement, das die di- Der prinzipielle Aufbau der Solarzelle gleicht
rekte Umwandlung von Sonnenenergie in elek- dem der Fotodiode (Abschn. 6.5.4). Das Herz-
trische Energie gestattet. Wahrend in den fiinf- stiick ist also ein pn-Ubergang, in dem Elek-
ziger und sechziger Jahren die Anwendung tron-Loch-Paare, die durch absorbierte Photo-
vorwiegend auf die Raumfahrt beschrankt war, nen freigesetzt wurden, aufgrund des eingebau-
erobern sich die Solarzellen seit Mitte der sieb- ten elektrischen Feldes getrennt werden (Bild
ziger Jahre zunehmend weitere Anwendungs- 6-53). Dabei werden die Elektronen zum n-Kon-
gebiete. Als umweltfreundliche Methode der takt, die Locher zum p-Kontakt befordert. An
280 6 Optoelektronik

den Kontakten der idealen Solarzelle ist im


Leerlauf die Leerlaufspannung Kontakt
finger

abgreifbar. Der Fotostrom, der als KurzschluB-


strom /K meBbar ist, betragt

Bild 6-66. Schematischer Aufbau einer Si-Solarzelle.

scharfer der Knick in der Kennlinie ausgebildet


ist. In realen Solarzellen werden Werte von 70%
Der flieBende Fotostrom hangt also linear von bis etwas uber 80% erreicht.
der eingestrahlten Leistung (P^ ab. Das bedeutet,
daB er bei vorgegebener Bestrahlungsstarke E^ 150
proportional zur lichtempfindlichen Flache A U- \ \ \
ist: 0^ = E^A. Aus diesen GesetzmaBigkeiten \
folgt, daB die elektrische Leistung einer Solar-
zelle proportional zur Zellenflache anwachst. <ioo W \ \<^
E
Solarzellen werden deshalb im Gegensatz zu
Fotodioden groBflachig dimensioniert (z. B.
1^2^^
10x10 cm^ Oder noch groBer). Bild 6-66 zeigt 50
den typischen Aufbau einer Si-Solarzelle. Die
beleuchtete Deckflache ist mit einem Netz von
«^x
o^y^
diinnen Kontaktfmgern iiberzogen, die den er- y. \ ... I t 1 u^ l^L
0,2 0,4 0,6
zeugten Fotostrom ableiten. Die Antireflex- Spannung U/ M
schicht ist sehr wichtig, weil nicht vergiitete
Bild 6-67. Strom-Spannungs-Charakteristik einer Si-
Halbleiteroberflachen einen hohen Reflexions- Solarzelle.
grad aufweisen.
Die Strom-Spannungs-Kennlinie der Solarzelle Beispiel
ist, wie bei der Fotodiode, gegeben durch Gl. 6-11: Wie groB ist der Fullfaktor der Solarzelle von
(6-52) und in Bild 6-57 a dargestellt. Die Solar- Bild 6-67?
zelle wird ohne auBere Spannung als Strom-
generator betrieben. Das bedeutet, daB nur der Losung:
im vierten Quadranten liegende Teil des Kenn- Aus dem Diagramm kann abgelesen werden:
linienfeldes interessiert. Dieser Teil wird in der ^m = ^ m / . = 50mW
Kegel im ersten Quadranten eines Strom-Span- t/L=0,5V,
nungs-Diagramms dargestellt, wie dies in Bild /K = 139 mA.
6-67 zu sehen ist. Der Schnittpunkt der Wider- Damit wird F^ = 72%.
standsgeraden / = U/Ri^ mit der Kennlinie be-
stimmt den Arbeitspunkt A der Solarzelle. Der Die Kennlinie einer realen Solarzelle weicht
optimale Lastwiderstand liegt vor, wenn das von der idealen Form, die durch Gl. (6-52) be-
graue Rechteck maximalen Flacheninhalt hat, schrieben wird, ab. Bild 6-68 zeigt das Ersatz-
d.h. wenn die Leistung P^ = I^U^, die der schaltbild einer realen Solarzelle. Verluste ent-
Zelle entnommen wird, den Maximalwert er- stehen durch den Serienwiderstand R^ und den
reicht hat. Das Verhaltnis Parallelwiderstand R^. Der Serienwiderstand
wird vor allem durch die diinne n-Schicht ver-
ursacht, in der die Elektronen bis zum nachsten
Kontaktfinger laufen miissen, sowie durch
Bahnwiderstande der Kontakte. Der Parallel-
wird als Fullfaktor (oder Kurvenfaktor) be- widerstand (Shunt) entsteht durch Defekte im
zeichnet. Der Fullfaktor wird um so groBer, je pn-tjbergang und Leckstrome iiber die Ober-
6.5 Halbleiter-Detektoren 281

Wahrend bei der idealen Fotodiode der Foto-


1 T 1—1 h-j •i » — 1
strom mit dem KurzschluBstrom iiberein-
stimmt (/K = — /ph), wird er bei der realen
U
Solarzelle durch den Serienwiderstand vermin-
dert. Aus Gl. (6-63) folgt fur den KurzschluB-
Strom (bei R^ = oo)
1 i 1 c3 1

Bild 6-68. Ersatzschaltbild einer realen Solarzelle.


-i)--/ph- (6-65)
flache. Die Strom-Spannungs-Charakteristik
der idealen Fotodiode Der EinfluB des Serienwiderstands auf die
Kennlinie ist in Bild 6-69 b dargestellt. Obwohl
/ = /s(e^''"'"-l)-U (6-52) Leerlaufspannung und KurzschluBstrom bei
einem Serienwiderstand von i^g = 1Q noch
mu6 daher korrigiert werden zu nicht von den Werten der idealen Solarzelle ab-
weichen, wird doch die Form der Kennlinie und
damit der Fiillfaktor beeinfluBt. Im Beispiel von
/ph. Bild 6-69 b sinkt der Fiillfaktor von F^ = 0,70
R^ bei K, = 0 um 13% auf F^ = 0,61 bei R, = \a.
(6-63) Damit sinkt auch die maximal zur Verfiigung
stehende Leistung um 13%. Der Serienwider-
Abweichend von Gl. (6-53) fiir die Leerlauf- stand wirkt sich auf den Fiillfaktor um so star-
spannung einer idealen Fotodiode folgt aus Gl. ker aus, je groBer die Strahlungsleistung ist. In
(6-63) fiir die Leerlaufspannung der realen So- guten Si-Solarzellen ist der Serienwiderstand
larzelle R, < 1 Q.
Die Eigenschaften der Solarzelle sind wie die
der Fotodiode von der Temperatur abhangig.
Typischerweise nimmt die Leerlaufspannung
mit steigender Temperatur linear um etwa
Bild 6-69 a zeigt den EinfluB des Parallelwider- — 3mV/K ab. Demgegeniiber zeigt der Foto-
standes auf die Kennlinie und insbesondere auf strom einen leichten Anstieg um etwa 0,1 %/K.
die Leerlaufspannung. In guten Zellen liegt der Dies bedeutet, daB die Leistung, die man der
Parallelwiderstand bei Kp > 1 kQ und hat kei- Zelle entnehmen kann, mit steigender Tempera-
nen nennenswerten EinfluB. tur um etwa —0,5 %/K abnimmt.

a) Parallelwiderstand R^ (R^= 0) b) Serienwiderstand R^ (/?p= «»)

150 150

'0 0,1 0,2 0,3 0,4 0,5 0 0,1 0,2 0,3 0,4
Spannung L// V Spannung U/ V

Bild 6-69. Kennlinien realer Solarzellen: Einflufi von Parallel- und Serienwiderstand.
282 6 Optoelektronik

Wirkungsgrad
Der Wirkungsgrad einer Solarzelle ist als Ver-
haltnis der maximal entnehmbaren elektrischen
Leistung P^ zur eingestrahlten optischen Lei-
stung <P^ defmiert:

'=-^rE.A
Pm /K^L^V
(6-66)

Fiir den Wirkungsgrad von Solarzellen ist die


spektrale Bestrahlungsstarke E^ ^ des Sonnen-
lichts von groBer Bedeutung. Bild 6-70 zeigt den
Verlauf auBerhalb der Lufthiille (AMO: Air
0,4 0,8 X'g 1,6 2,0 2,4 2,8
Mass Zero) und auf der Erdoberflache bei senk-
rechter Einstrahlung (AMI: Air Mass One). Wellenlange X/jum

Der Verlauf von E^ ^ bei AMO entspricht etwa Bild 6-70. Spektrale Bestrahlungsstarke von Sonnen-
der Verteilung bei einem schwarzen Strahler licht aufierhalb der Erdatmosphdre (AMO) und auf der
mit der Temperatur T= 6000 K. Die integrale Erdoberflache (AMI). Ag ist die Grenzwellenldngefur
Absorption in Si.
Bestrahlungsstarke betragt E^ = 1,35 kW/m^ =
135mW/cm^ und wird als Solarkonstante be- Tabelle 6-9. Solarzellen und ihre Wirkungs-
zeichnet. Bei AMI wird durch Absorption in grade.
der Lufthulle die Bestrahlungsstarke auf etwa
Eg ;=i lOOmW/cm^ reduziert. Steht die Sonne Material Ausfiihrung Wirkungs-
unter einem Winkel von 30° iiber dem Hori- grad in %
zont, dann legen die Lichtstrahlen, verghchen
mit AMI, den doppelten Weg in der Lufthulle Si einkristallin 19
zuriick. In diesem Fall - als AM2 bezeichnet - Si einkristallines
ist die Bestrahlungsstarke nur noch etwa Band 15
75mW/cm^ Si polykristallin 17
Das Sonnenlicht ist spektral sehr breitbandig, Si amorphe Dunn-
schicht 9
so daB ein Teil der Photonen in der Solarzelle GaAs einkristallin 18
nicht absorbiert wird und deshalb auch keinen GaAs/GaAlAs einkristallin 22
Fotostrom liefern kann. Nach Gl. (6-30) und CdS/CuInSe2 einkristallin 12
(6-31) sind dies die Photonen mit E^^^KE^, CdS/CuInSe2 Diinnschichtzelle 11
bzw. 2 > Ag. Dieser Wellenlangenbereich ist in Cu2S/CdS Diinnschichtzelle 9
Bild 6-70 fiir den Halbleiter Sihcium rot einge-
zeichnet. Photonen mit ausreichender Energie
werden zwar absorbiert, die von ihnen erzeug- zellen. Kommerziell erhalthche Solarzellen ha-
ten Ladungstrager erreichen aber nicht alle den ben einen Wirkungsgrad von wenig mehr als
pn-tJbergang, sondern rekombinieren vorher 10%.
Oder werden in Haftstellen eingefangen. Weitere GroBe Hoffnungen setzt man auf die Tandem-
Verluste entstehen dadurch, daB auch Photo- zelle, bei der verschiedene Halbleiter hinterein-
nen mit groBer Energie (£ph > E^) jeweils nur ander angeordnet werden. In der obersten Zelle
ein freies Elektron-Loch-Paar erzeugen, das zur aus einem Halbleiter mit groBem Bandabstand
elektrischen Energie den Betrag E^ beisteuert. werden nur die hochenergetischen Photonen
Die iiber E^ hinausgehende Photonenenergie absorbiert. Die durchgelassenen Photonen tref-
wird in Form von Warme (Gitterschwingun- fen dahinter auf eine Zelle mit kleinerem Band-
gen) an das Kristallgitter abgegeben. Aus den gap, in der sie zum Teil absorbiert werden.
geschilderten Grunden erreichen Solarzellen Eventuell werden weitere Zellen mit sukzessive
Wirkungsgrade von etwa 10% bis 15%. Tabelle kleiner werdendem Energiegap angefiigt. Theo-
6-9 zeigt eine Zusammenstellung der hochsten retisch sind mit solchen Tandemzellen Wir-
gemessenen Wirkungsgrade verschiedener Solar- kungsgrade uber 50% moglich. In der Praxis
6.5 Halbleiter-Detektoren 283

wurde bei einer GaAs/Si-Zelle mit konzentrier- daB die einzelnen Si-Kristalle saulenformig
tem Sonnenlicht ein Wirkungsgrad von 31% nebeneinander wachsen (Columnar-Struktur),
gemessen. so daB die Ladungstrager auf ihrem Weg in
Saulenlangsrichtung nicht auf Korngrenzen
Technologie stoBen. Beispielsweise durch Aufdampfen oder
Sputtern werden amorphe Schichten herge-
Das bedeutendste Material fur Solarzellen ist stellt, die allerdings den geringsten Wirkungs-
derzeit Silicium. Zellen aus einkristallinem Ma- grad aufweisen.
terial (Bild 6-71 a), die aus groBen Si-Einkristal-
len geschnitten werden, haben zwar den hoch- Solarzellen aus GaAs erzielen zwar einen sehr
sten Wirkungsgrad, sind aber relativ teuer. hohen Wirkungsgrad, sind aber technologisch
Gunstigere Herstellungskosten haben diinne auBerordentlich aufwendig und damit so teuer,
Bander, die entstehen, wenn die Silicium- daB sie fur terrestrische Anwendungen kaum in
schmelze durch eine diinne Kapillare ausgezo- Frage kommen. Sehr aussichtsreich sind Diinn-
gen wird. Polykristallines Material (Bild 6-71 b) schichtzellen aus Kupfer-Indium-Diselenid
entsteht durch GieBen von Silicium in groBe (CuInSe2, abgekiirzt CIS-Zellen), bei denen ein
Blocke, die nach dem Zersagen zu rechteckigen Wirkungsgrad von 11,2% erzielt wurde, bei
Solarzellen verarbeitet werden. Hierbei ist einer GroBe von 30 x 30 cm^. Sie iibertreffen
wichtig, daB die erzeugten Ladungstrager auf damit den Wirkungsgrad von amorphen Si-Zel-
ihrem Weg zum pn-Ubergang nicht auf Korn- len. Die CIS-Zellen bestehen aus fiinf Schich-
grenzen treffen, weil sie an denselben bevorzugt ten: das Substrat wird mit Molybdan als Riick-
rekombinieren und dadurch der Wirkungsgrad seitenkontakt beschichtet; darauf kommen die
der Zelle herabgesetzt wird. Durch besondere Halbleiter CuInSe2 (1 |im dick), CdS (0,03 )am
Herstellungsverfahren konnte erreicht werden, dick) und als elektrisch leitende aber hchtdurch-
lassige Deckschicht ZnO.
Da die einzelnen Solarzellen nur kleine Span-
nungen liefern (in der GroBenordnung von
0,5 V), werden sie zur Erzeugung groBerer
Spannungen (z. B. 12 V) hintereinander geschal-
tet und ebenso parallel, um groBere Strome zu
erzielen. Die so miteinander verschalteten Zel-
len werden hermetisch dicht verschlossen zu
einem Modul zusammengebaut (Bild 6-72). Die
Ausgangsleistung eines solchen Solargenerators
liegt in der GroBenordnung von 15 W oder
^^.••^W",^ 30 W bei AMl-Beleuchtung.
Zur Uberbruckung von Dunkelzeiten werden
Solargeneratoren normalerweise mit Akkumu-
latoren gepuffert. In der Praxis werden dazu
meist Blei-Akkus, seltener Ni-Cd-Akkus ver-
wendet. Bild 6-73 zeigt den prinzipiellen An-
schluB des Solargenerators an den Akku. Die
Schutzdiode D verhindert ein Entladen des Ak-
kus iiber die Solarzelle bei Dunkelheit. Der
Laderegler schiitzt die Batterie vor Uber- oder
Unterspannung. Bei groBen Anlagen mit Lei-
stungen von einigen hundert Watt lohnen sich
aufwendige Regler (Maximum Power Tracker,
MPT), die dafiir sorgen, daB die Solarzellen
stets im optimalen Arbeitspunkt betrieben wer-
Bild 6- 71. Silicium-Solarzellen :a) einkristallin, b) den.
polykristallin. AuBer der Anwendung in Kleingeraten, wie z. B.
Werkfotos: Telefunken electronic. Uhren, Taschenrechnern, werden derzeit Solar-
284 6 Optoelektronik

einspielt. Wahrend friiher eine Si-Solarzelle im


Laufe ihres Lebens (ca. 20 Jahre) etwa so viel
Energie erzeugt hat, wie zu ihrer Herstellung
aufgewandt wurde, amortisiert sich eine mo-
derne polykristalline Zelle in einem Kunststoff-
Modul bereits nach etwa einem Jahr.

6.5.6 Fototransistor
Der Fototransistor ist wie die APD ein Detek-
tor mit innerer Verstarkung. Bild 6-74 a zeigt
den Aufbau eines npn-Bipolartransistors. Der
Basis-Kollektor-iJbergang ist groBflachig aus-
gefuhrt und in Sperrichtung gepolt. Durch Pho-
tonenabsorption erzeugte freie Elektron-Loch-
Paare werden im elektrischen Feld der Basis-
Kollektor-Diode getrennt. Die Elektronen flie-
Ben zum Kollektor, die Locher zur Basis und
Bild 6- 72. Solarmodul. von dort weiter iiber den fluBgepolten Basis-
Werkfoto: Siemens Solar GmbH. Emitter-Ubergang zum Emitter. Dadurch steigt
D die FluBspannung an der Basis-Emitter-Diode
leicht an, was zur Folge hat, daB Elektronen
vom Emitter in die Basis und weiter zum Kol-
lektor flieBen. Der Kollektorstrom ist deshalb
groBer als der primare Fotostrom nach Gl.
(6-50) I^^ = (^Jhf)eri{A).
Fur den Kollektorstrom ergibt sich:
Bild6-73. Solaranlage mit Akkumulator.

zellen vor allem dort eingesetzt, wo keine Netz- / c = (5 + l ) ( / , + /cB,d) (6-67)


spannung zur Verfugung steht, beispielsweise in
Wochenendhausern, Camping, Funkanlagen, B ist der Stromverstarkungsfaktor in Emitter-
Bojen. Der groBtechnische Einsatz zur Ener- schaltung, /cB,d ^^^ Dunkelstrom der Basis-
gieerzeugung steckt dagegen noch in den Kin- Kollektor-Diode. Der Kollektorstrom ist also
derschuhen. Das bisher groBte Solarkraftwerk naherungsweise um den Stromverstarkungsfak-
steht in Carissa Plains in Kalifornien. Es wurde tor groBer als der Fotostrom:
auf einem 650 000 m^ groBen Gelande in weni-
ger als einem Jahr gebaut. Durchschnittlich Ir^BI ph' (6-68)
8,2 Stunden am Tag wird die Spitzenleistung
von 7,2 MW abgegeben. In der Bundesrepublik Typische Werte fur die Stromverstarkung liegen
Deutschland steht eine Solarfarm auf der Nord- bei B = 100 bis 1000.
seeinsel Pellworm mit einer Spitzenleistung von Durch die interne Verstarkung ist auch die
300 kW. Der Strompreis betragt dort ca. Empfindhchkeit des Fototransistors um den
3,57 DM/kWh und ist damit sehr teuer, vergH- Faktor B der Stromverstarkung groBer als bei
chen mit thermischen Kraftwerken. Allerdings der einfachen Fotodiode. Wahrend dort die
ist zu erwarten, da6 mit moderner Technologie Empfindhchkeit typischerweise 5 ^ 0,5 A/W
der Strompreis auf unter 1 DM/kWh, in siid- betragt (Bild 6-56), ist sie beim Fototransistor
Hchen Landern auf unter 0,40 DM/kWh redu- 100- bis lOOOmal groBer.
ziert werden kann. Interessant ist in diesem Die Wirkungsweise des Fototransistors kann
Zusammenhang, daB sich die Energieriicklauf- ersatzweise so beschrieben werden, als ob nach
zeiten fur Solarzellen enorm verkiirzt haben. Bild 6-74 b eine Fotodiode zwischen Basis und
Darunter wird diejenige Zeit verstanden, die Kollektor eines normalen Transistors geschaltet
eine Solarzelle in Betrieb sein muB, damit sie die ware. Der Fotostrom I^^ spielt die Rolle des
zu ihrer Herstellung notwendige Energie wieder Basisstroms, der um den Stromverstarkungs-
6.5 Halbleiter-Detektoren 285

Basis Kollektor faktor multipHziert. Die Anstiegs- und Abfall-


zeiten handelsiibHcher Fototransistoren liegen
bei einigen jis (Lastwiderstand Ri^=\ kQ). Die
3 dB-Grenzfrequenz hegt daher bei einigen hun-
dert kHz. Wesenthch hohere Werte lassen sich
nach dem Schema von Bild 6-74 b erzielen,
wenn eine diskrete Fotodiode mit einem nor-
malen (kleinflachigen) Transistor zusammenge-
b) C
schaltet wird.
Eine besonders groBe Verstarkung [B ^ lO'^)
hat der Darlington-Fototransistor (Bild 6-75),
der aber mit einer Grenzfrequenz in der
GroBenordnung von /gj. ^ 10 kHz relativ lang-
sam ist.
Aufgrund seiner niedrigen Grenzfrequenz wird
der Fototransistor vor allem dort eingesetzt, wo
es nicht auf hohe Geschwindigkeit, aber auf
groBe EmpfindHchkeit ankommt, beispielsweise
bei Lichtschranken, Lochkartenlesern, Opto-
kopplern, LichtleistungsmeBgeraten.
Die hchtempfmdlichen Eigenschaften der Feld-
effekttransistoren werden beim Fotofeldeffekt-
transistor ausgenutzt. Beim Sperrschichtfeld-
effekttransistor wird nach Bild 6-76 a die Umge-
bung der Steuerelektrode beleuchtet. Dadurch
werden im Gate-pn-Ubergang Ladungstrager

9+

10 20 30 40 50
<^
C <
Kollektor-Emitter-Spannung U^^IM

Bild6-74. Bipolarer Fototransistor: a) Aufbau, b)


Schaltsymbol und Ersatzschaltbild, c) Kennlinienfeld.
Bild 6-75. Darlington-Fototransistor.
faktor B verstarkt als Kollektorstrom /^ zur
Verfugung steht. a) Grundschaltung b) Fotodioden- c) Fotoleiter-
Das Ausgangskennlinienfeld nach Bild 6-74 c betrieb betrieb
unterscheidet sich nicht grundlegend von dem
eines normalen Transistors. Lediglich ist an- 1
stelle des Basisstroms die Beleuchtungsstarke
E^ als Parameter aufgetragen. Am Basisan-
schluB kann die Verstarkung eingestellt werden,
meist ist er aber gar nicht herausgefiihrt.
Das Zeitverhalten des Fototransistors wird be-
stimmt durch die Diffusionszeit der Minoritats-
ladungstrager durch die Basis, sowie eine RC-
Zeitkonstante mit der Kapazitat der Kollektor-
Basis-Diode. Diese an sich bereits groBe Kapa-
zitat (groBe Flache des Kollektor-Basis-Ober-
gangs) wird noch mit dem Stromverstarkungs- Bild 6-76. Schaltungen des Fotofeldeffekttransistors.
286 6 Optoelektronik

freigesetzt, die zu einem Anstieg des Gatestro- fuhrt wird. Auf diese Weise wird eine Potential-
mes fuhren. Uber den Gatewiderstand RQ fuhrt trennung zwischen Steuereinheit und Hoch-
dies zu einer Anderung der Gatespannung und spannungsthyristor erreicht.
damit zu einem Anstieg des Drainstroms. Die
Ausgangsspannung am Lastwiderstand R^^ ist 6.5.8 Bildsensoren
naherungsweise quadratisch von der Bestrah-
lungsstarke abhangig. Die Empfindlichkeit des Bildaufnahmerohre
Foto-FET ist etwa 5^100A/W. Wird nach Die bisher behandelten Detektoren geben ein
Bild 6-76 b Source und Drain verbunden, ar- elektrisches Signal ab, das von der insgesamt
beitet das Bauelement als Fotodiode mit auftreffenden Strahlungsleistung abhangt. Um
S ^\ A/W. Die hdchste Empfindlichkeit von Bilder von Gegenstanden aufzunehmen, wurden
etwa 5;^ 1000 A/W ergibt sich im Betrieb als integrierte Fotosensoren entwickelt, die im-
Fotoleiter, wenn nach Bild 6-76 c Gate und stande sind, Helligkeitsverteilungen in Ladungs-
Source miteinander verbunden werden. bilder umzuwandeln. Das im Bildsensor ent-
standene Ladungsbild wird abgetastet, d. h. zei-
6.5.7 Fotothyristor lenformig die Ladungsmenge der einzelnen
lichtempfindlichen Zellen abgefragt. Aus dieser
Der Fotothyristor besteht wie der normale
Information kann ein Videosignal gewonnen
Thyristor aus vier p- und n-Schichten (Bild
werden, mit dessen Hilfe das Bild auf einem
6-77). Die Ziindung wird aber nicht durch einen
Strompuls liber die Gate-Elektrode herbeige- Fernsehmonitor wiedergegeben werden kann.
fuhrt, sondern durch Bestrahlung des sperren- Beim Vidikon nach Bild 6-78 sind ca. 10^ Foto-
den mittleren pn-Ubergangs. Die sperrende Di- dioden auf einer Flache von etwa 1 cm^ unter-
ode schaltet durch, wenn bei genugender gebracht. Die Abtastung der einzelnen Bildele-
Strahlungsleistung die Raumladungszone mit
Elektron-Loch-Paaren uberschwemmt wird.
Der geziindete Thyristor bleibt auch nach Ab-
schalten der Lichtquelle leitend. Das Abschal-
ten erfolgt, sobald der Strom unter den Halte-
strom absinkt oder durch Loschimpulse. Bei
Wechselspannungsbetrieb schaltet der Thyri-
stor bei jedem Nulldurchgang der Spannung
ab, so daB er bei jeder positiven Halbwelle neu
geziindet werden muB.
Zur Ziindung ist eine Strahlungsleistung von
einigen mW erforderlich, die von einer LED
oder Laserdiode gehefert und beispielsweise mit Videosignal
Hilfe eines Lichtleiters dem Fotothyristor zuge-

Bild6-78. Ausschnitt aus der Multidiodenmatrix ei-


nes Vidikons.

mente geschieht mit Hilfe eines Elektronen-


strahls, der in einer Vakuumrohre erzeugt wird
und zeilenformig die Bildelemente abrastert. Im
nicht beleuchteten Zustand werden durch den
Elektronenstrahl die p-Inseln gegeniiber dem
n-Substrat auf negatives Potential gebracht,
d. h. die einzelnen pn-Ubergange sind in Sperr-
richtung gepolt. Wird ein Objekt mit Hilfe einer
^ Kathode Abbildungsoptik auf das Substrat abgebildet,
Bild 6-77. Schema des Fotothyristors. dann erzeugen die Photonen im Halbleiter
6.5 Halbleiter-Detektoren 287

Elektron-Loch-Paare, die sofort getrennt wer- Fotodioden oder MOS-Kondensatoren. Bild


den. Die Locher diffundieren zu den p-Gebieten 6-79 a zeigt eine in Sperrichtung gepolte planare
und entladen diese teilweise. Der abrasternde n'^p-Diode. Durch Photonen erzeugte Elek-
Elektronenstrahl fuhrt die fehlende Ladung tron-Loch-Paare werden in der Raumladungs-
wieder zu, so daB die alten Potentialverhalt- zone getrennt, wonach die Locher in das p-Sub-
nisse wieder hergestellt werden. Dadurch ent- strat abflieBen, die Elektronen dagegen im Po-
steht im auBeren Stromkreis eine zeitliche Ab- tentialtopf des n ^ -Gebiets so lange gesammelt
folge von Strompulsen, wobei jeder Puis ein werden, bis sie am Ende der Integrationszeit
MaB ist fur die Beleuchtungsstarke am Ort ei- von der Ausleseschaltung abtransportiert wer-
ner Fotodiode. Mit dem Vidikon kann im allge- den. Beim MOS-Kondensator nach Bild 6-79 b
meinen bis herab zu einer Beleuchtungsstarke entsteht eine Raumladungszone durch den Ein-
von E^ ;^ 0,1 Ix gearbeitet werden. bau eines Poly-Silicium-Gates uber einer diin-
nen Oxid-Schicht. Auch hier werden die La-
Selbstauslesende Festkorperbildsensoren dungstrager im elektrischen Feld getrennt, wo-
nach sich die Elektronen in der Inversions-
Das Vidikon wird zunehmend ersetzt durch inte-
schicht (Grenzflache zwischen Halbleiter und
grierte Halbleiterbauelemente auf der Grund-
Oxid) ansammeln.
lage der CCD (Charge Coupled Devices, la-
dungsgekoppelte Bauelemente). Dies sind reine Die spektrale EmpfindHchkeit des Sensors ent-
Festkorpersensoren (meist auf Sihcium-Basis), spricht bei der Verwendung von Fotodioden
die ohne Elektronenstrahl und die damit ver- etwa derjenigen von iiblichen Si-Fotodioden
bundenen Probleme der Vakuumrohre arbei- (Bild 6-56). MOS-Kondensatoren haben fur
ten. Sie sind sehr klein und robust und haben Wellenlangen A < 700 nm und insbesondere im
eine langere Lebensdauer als Vidikons. Die licht- blauen Spektralbereich eine deutlich geringere
empfindUchen Elemente (sogenannte Pixels) EmpfindHchkeit als Fotodioden. Dies wird
sind entweder in einer Zeile oder als flachen- durch die Poly-Sihcium-Schicht verursacht, die
hafte Matrix angeordnet. Zur Abbildung eines fur die kurzen Wellenlangen einen hohen Ab-
ausgedehnten Gegenstandes mit Hilfe einer sorptionskoeffizienten aufweist.
a) b)
CCD-Zeile muB das Objekt beispielsweise mit .SiOp
Hilfe eines Drehspiegels auf das CCD abgebil-
det werden. Das Objekt wird dadurch zeilen-
weise abgerastert. Dieses Abrastern entfallt bei
flachenhaften Detektoren.
Die CCD-Bildsensoren arbeiten im Prinzip in
drei Stufen:
Inversionsschicht
- In den fotoempfindHchen Elementen wird
Bild6-79. Fotoelemente eines Bildsensors: a) n^p-
die auffallende Strahlung in eine zur Beleuch-
Fotodiode, b) MOS-Kondensator.
tungsstarke proportionale Ladungsmenge
umgewandelt. Die wahrend der Integrations- Der Ladungstransfer geschieht langs einer
dauer (typischerweise etwa 20 ms) angesam- Kette von MOS-Kondensatoren (das eigent-
melte Ladung wird in einem raumlich be- hche CCD). Die in den Potentialtopfen der ein-
grenzten Potentialtopf gespeichert. zelnen Kondensatoren gefangenen Ladungstra-
- Wahrend der sehr kurzen Auslesephase ger werden dadurch verschoben, daB jeweils an
(< 1 ms) erfolgt der sequentielle Transfer der eine Nachbarelektrode eine Spannung angelegt
angesammelten Ladungspakete langs einer wird, so daB dort der Potentialtopf tiefer wird
Kette vom MOS-Kondensatoren (CCD als und die Elektronen in diesen abflieBen. Je nach
analoges Schieberegister) zu einer Auslese- Organisationsform werden Zwei-, Drei- und
stufe. Vier-Phasen-CCD unterschieden. Bild 6-80
- In der Auslesestufe wird jedes ankommende zeigt das Prinzip eines Vier-Phasen-CCD. An
Ladungspaket in ein zur Ladungsmenge und die vier Taktleitungen (P^ bis ^^ werden in regel-
damit zur Beleuchtungsstarke proportionales maBiger zeithcher Abfolge solche Spannungen
Spannungssignal umgewandelt. angelegt, daB nacheinander die Potentialmuster
Als lichtempfindliche Elemente eignen sich A bis D entstehen. Die rot angedeuteten Ladun-
288 6 Optoelektronik

keit zu erhohen. Nach Bild 6-81 (auch Bild 6-83)


befmden sich auf beiden Seiten der Fotosenso-
ren CCD-Schieberegister, in die wahrend der
Poly-Si 2
Auslesephase iiber ein Transfer-Gate die La-
dungspakete iibertragen werden. Dabei werden
alle Ladungen der ungeradzahligen Elemente in
das untere, diejenigen der geradzahUgen in das
obere Register iibernommen. Auf diese Weise
kann man jedes der beiden Schieberegister mit
der halben Taktfrequenz betreiben.
Flachenhafte Bildsensoren, die in Femsehkame-
ras eingebaut werden, sind meist nach dem
Schema gemaB Bild 6-82 (ebenso Bild 6-83) auf-
cIT gebaut. Die fotoempfmdhchen Elemente beste-
hen aus MOS-Kondensatoren, die als parallele
T CCD angeordnet sind (vertikale Spalten). Die
Bild 6-80. Vier-Phasen-CCD. untere Halfte der Flache ist abgedeckt, so daB
genflieBendadurch von links nach rechts in die nur in der oberen Zone, der Bildzone, wahrend
Auslesestufe. Der Transport geschieht nicht ver- der Integrationszeit das Ladungsbild entsteht.
lustfrei. Einige Elektronen werden von traps Bei der sehr kurzen Auslesephase werden die
eingefangen, andere schaffen in der vorgegebe- Ladungen in den unteren Teil (Speicherzone)
nen Taktzeit den Weg von einem zum anderen
Potentialtopf nicht. Gute CCD haben einen re-
lativen Ladungsverlust von 10~^ bis lO""*^ pro
Ubertragung. Dies ist gleichbedeutend mit
einem Ubertragungswirkungsgrad von 99,99%
bis 99,999%. Besonders giinstig wirkt es sich
aus, wenn zwischen dem p-Substrat und der
Oxidschicht (Bild 6-79 b) eine diinne n-Schicht
eingebaut ist. Dieser vergrabene Kanal stellt
eine Potentialmulde dar, in der die Ladungstra-
ger mit geringeren Verlusten transportiert wer-
den, als direkt an der Oberflache des Halbleiters
(Grenzflache Halbleiter-Oxid). Diese BCCD
(Buried-Channel-CCD) erlauben eine Taktfre-
quenz von liber 20 MHz und werden zur Auf-
nahme von Fernsehbildern eingesetzt.
Bei linearen Bildsensoren besteht die Zeile der
fotoempfmdlichen Elemente meist aus Foto-
dioden, denen noch je ein MOS-Kondensator
zugeordnet ist, um die Ladungsspeicherfahig- Bild 6-82. Fldchenhafter CCD-Sensor.

CCD-Schieberegister

I Transfer-Gate
i i • i i i
Auslese-
Fotodioden-Zeile
MJM i 1 |6|5|4|3|2|1|
• • •
stufe
iTransfer-Gatel

CCD-Schieberegister

Bild 6-81. Linearer CCD-Sensor.


6.5 Halbleiter-Detektoren 289

verschoben. Dies muB sehr schnell vonstatten Form eines tieferen Potentialtopfes (n'*"-Gebiet) an-
gehen (< 1 ms), um eine Verschmierung des Eli- bringt, in das die uberschiissigen Ladungstrager ab-
des zu vermeiden. Von der Speicherzone aus flieBen in Analogic zu einem Regeniiberlaufbecken.
werden nacheinander die Inhalte der einzelnen Die H5he der Potentialbarriere zwischen dem La-
dungstragerspeicher und dem Drain-Gebiet wird
Spalten-CCD auf das horizontale Schieberegi- durch eine elektrische Spannung uber das Antibloom-
ster iibertragen und von dort zur Auslese- ing Control Gate eingestellt.
stufe befordert. Solange der Inhalt der Speicher-
zone abgearbeitet wird, entsteht in der Bildzone Bild 6-83 zeigt eine Zusammenstellung von zei-
das neue Ladungsbild. Es gibt auch Sensoren, lenformigen und flachenhaften Bildaufneh-
bei denen die gesamte Flache lichtempfindlich mern. CCD-Zeilen haben bis zu 4096 Pixels mit
ist. Bei diesen Full-Frame-Sensoren werden einer GroBe von 7 bis 15 |im. Matrixformige
nach abgeschlossener Integration die Inhalte CCD sind im Handel mit bis zu 2048 x 2048
aller Pixels Linie fiir Linie in das Ausleseregister Elementen bei einer PixelgroBe von 9 bis 27 pm.
iibertragen. Bild 6-84 zeigt Aufnahmen, die bei verschiede-
Wird der Sensor lokal stark beleuchtet, so kann es nen Beleuchtungsstarken mit einem flachenhaf-
vorkommen, daB die Zahl der erzeugten Ladungstra- ten Sensor gemacht worden sind.
ger das Fassungsvermogen der Potentialtopfe iiber- Zur Erzeugung von Farbbildern wird das Licht durch
steigt und deshalb die Ladungen in die Umgebung Filter in die Grundfarben Rot, Griin und Blau zerlegt,
abflieBen. In diesem Fall bluht bei der Wiedergabe die man getrennt nachweist. Dies kann dadurch ge-
der Lichtfleck nach alien Seiten auf, was als Blooming schehen, daB jede Farbe mit einem besonderen Sensor
bezeichnet wird. Diesem unangenehmen Effekt kann nachgewiesen wird, oder daB man ein mosaikartiges
dadurch begegnet werden, daB man neben jedes Fo- Muster von Farbfiltern vor die einzelnen Pixels eines
toelement eine Senke (Drain) fiir Ladungstrager in Sensors setzt. Fiir den infraroten Spektralbereich

^
1^^^ W^^^ ^

Bild 6-83. Zeilen- und Matrix-CCD.


^
^^>" Werkfoto: Thomson-CSF.
290 6 Optoelektronik

trennte Stromkreise miteinander koppelt. Meist


sind die beiden Kreise auf verschiedenem Poten-
tial. So kann beispielsweise mit dem Optoisola-
tor ein auf Hochspannung liegender Stromkreis
von einem auf Erdpotential liegenden gesteuert
werden. Die Kopplung erfolgt durch Infrarot-
strahlung, die meist von einer GaAs-IRED aus-
gesandt und von einem Si-Detektor empfangen
wird. Bild 6-85 zeigt eine Zusammenstellung
von Optokopplern mit verschiedenen Empfan-
gern. Die meisten Koppler haben einen Foto-
transistor (Fotodarlington) als Empfanger. Der
BasisanschluB ist haufig zur externen Beschal-
tung herausgefuhrt. Einfache Fotodioden als
Empfanger werden kaum hergestellt; man kann
eine solche Diode aber erhalten, wenn man bei
einem Fototransistor den Emitter offen laBt
und lediglich die Basis-Kollektor-Diode an-
schlieBt.
Die Spannungsfestigkeit der Koppler schwankt
zwischen 100 V und 50 kV; die meisten Typen
sind ausgelegt fiir ca. 2 kV. Optokoppler haben
einen Isolationswiderstand von etwa 10^^^.
Die Isolationseigenschaften sind in DIN 57883
und VDE 0883 sowie in VDE 0884 festgelegt.
Aufier den in Bild 6-85 dargestellten geschlosse-
nen Kopplern gibt es auch offene. Beim Gabel-
koppler (Gabellichtschranke) nach Bild 6-86 a
kann der Lichtweg zwischen Sender und Emp-
fanger unterbrochen werden. Man verwendet
ihn beispielsweise zur Erfassung von Drehwin-
keln, Langenkodierung, Stiickzahlbestimmung
usw. Haufig ist im Empfanger ein Logikbau-
stein (Schmitt-Trigger) integriert. Beim Reflex-
koppler (Reflexhchtschranke) nach Bild 6-86 b
geschieht die Kopplung von Sender und Emp-
fanger liber ein MeBobjekt, das sich in einem
bestimmten Abstand befmden muB.
Bild 6-87 zeigt zwei prinzipiell verschiedene
Aufbauten von Sender und Empfanger. Wird
der Empfanger vom Sender liber einen lichtlei-
Bild6-84. Aufnahmen mit einem fldchenhaften tenden Kunststoff direkt bestrahlt, so besteht
CCD-Sensor (480 x 560 Pixels) bei verschiedenen Be- ein sehr guter Koppelwirkungsgrad. Infolge des
leuchtungsstdrken und Blende 8: a) £'y = 2401x, b) geringen Abstandes der Bauelemente ist aber
^ , = 1201x, c; E^ = 6\\. keine groBe Spannungsfestigkeit zu erzielen.
Fiir groBere Spannungen ist der Reflexions-
wurden ebenfalls Bildaufnehmer entwickelt, die je
koppler geeignet, bei dem die von der IRED
nach Materialzusammensetzung im nahen, mittleren
und fernen (Warmestrahlung) IR empfindlich sind. emittierte Strahlung iiber ein reflektierendes
Halbelhpsoid auf den Detektor geleitet wird.
Dieser Aufbau ist wegen der sehr kleinen Kop-
6.6 Optokoppler pelkapazitat (;^0,3pF) vorteilhaft. Sender und
Der Optokoppler oder Optoisolator ist ein Empfanger sind mit einem transparenten hoch-
Bauelement, das zwei galvanisch voUstandig ge- spannungsfesten Kunstharz vergossen und von
6.6 Optokoppler 291

Photodiode Phototransistor Photodiode und Transistor Photodarlington

W-^TE.

Phototyristor Phototriac Photo-Schmitt-Trigger

T 1 T

7_^\ I i^VA
o y
Bild 6-85. Optokoppler mit verschiedenen Empfdngern.

Gabelkoppler direkter Strahlengang

YTY: 7ZZZ
AI CM
M ^
H
K
-TTY
^

Reflexionsl<oppler
Reflexionskoppler
reflektierendes Objekt

-^
f^^S
\/^y / ^ A-r// A/ / yy

Bild 6-86. Offene Koppler. Bild 6-87. Aufbau von Optokopplern.

einem lichtundurchlassigen Kunststoffgehause Eine der wichtigsten KenngroBen eines Opto-


umgeben. Bild 6-88 zeigt die am haufigsten ver- kopplers ist das Stromiibertragungsverhdltnis
wendete Form von Optokopplern im DIP-Ge- CTR (Current Transfer Ratio), auch als Koppel-
hause. Beide Koppler entsprechen dem Typ von faktor bezeichnet. Es gibt das Verhaltnis von
Bild 6-85 b. Beim einen ist der BasisanschluB Ausgangsstrom /^ zu Eingangsstrom /p an:
des Transistors herausgefiihrt (DIP-6, ein An-
schluB ist nicht belegt), beim anderen nicht CTR = IJI^. (6-69)
(DIP-4). Koppler fur besondere Anspruche sind
haufig in Metallgehausen untergebracht. Das Stromiibertragungsverhaltnis hangt von
292 6 Optoelektronik

Bild6-88. Optokoppler im Dual-in-line-Gehduse.


Werkfoto: Siemens.
der Strahlungsausbeute der Sendediode, den
1 10 100
Eigenschaften des Ubertragungsmediums sowie DurchlaSstrom /p / mA
der Empfindlichkeit des Fotodetektors ab. Ta- Bild 6-89. StromUbertragungsverhdltnis in Abhdngig-
belle 6-10 gibt einen Uberblick iiber die Werte keit vom Durchlafistrom der Sendediode (f/cE= 5^)-
bei verschiedenen Empfangern. Da die Zeitkon-
stante mit zunehmendem Verstarkungsfaktor unter 0,3 %/K. Bild 6-90 zeigt die typische Tem-
ebenfalls ansteigt, haben Koppler mit einem peraturabhangigkeit mit dem FluBstrom der
groBen Koppelfaktor eine niedrige obere Sendediode als Parameter.
Grenzfrequenz.
Tabelle 6-10. Stromiibertragungsverhaltnis und
Grenzfrequenz verschiedener Optokoppler.

Empfanger CTR 4
Fotodiode 0,001 bis 0,008 5 bis 30 MHz
Diode und
Transistor 0,05 bis 0,4 1 bis 9 MHz
Fototransistor 0,2 bis 1 20 bis 500 kHz
Fotodarlington 1 bis 10 1 bis 30 kHz

Der Koppelfaktor ist leider nicht konstant, son-


dern vom Strom /p durch die Sendediode ab-
hangig. Bild 6-89 zeigt den typischen Verlauf fixr
einen Fototransistor und einen Fotodarlington 0 50 100
als Empfanger. Aus dem Diagramm folgt, daB Temperatur i? / °C
insbesondere bei kleinen Stromen der IRED Bild 6-90. StromUbertragungsverhdltnis eines Opto-
der Ausgangsstrom nicht proportional zum kopplers mit Fototransistor in Abhdngigkeit von der
Eingangsstrom ist. Die beste Linearitat wird Temperatur (UQ^ = 10 V).
bei Kopplern mit Fotodiode beobachtet. Das
Stromiibertragungsverhaltnis hangt auch von Im Laufe des Betriebs von Optokopplern
der Temperatur ab, allerdings nicht gravierend, nimmt der Stromiibertragungsfaktor kontinuier-
da sich zwei gegenlaufige Effekte zum Teil kom- Hch ab (Degradation). Dies wird in erster Linie
pensieren. Mit steigender Temperatur nimmt verursacht durch Alterungseffekte bei der
zwar die Strahlungsausbeute der Sendediode IRED, aber auch durch eine Abnahme der
ab, jedoch die Empfindlichkeit des Fototransi- Empfindlichkeit bzw. der Stromverstarkung der
stors zu. Der Temperaturkoeffizient liegt meist Ausgangsstufe sowie eine verminderte Transpa-
6.7 Lichtwellenleiter 293

renz des lichtleitenden Kunststoffes. Die Ab- nicht vom Koppler, sondern von den Eigen-
nahme des Koppelfaktors ist bei verschiedenen schaften der Wandler ab. Optokoppler mit
Exemplaren eines Typs sehr verschieden, im all- Transistorausgang konnen zur direkten An-
gemeinen wird eine relative Streuung (Stan- steuerung von logischen Schaltungen in beliebi-
dardabweichung) der Abnahme von ca. 50% ger Technologic eingesetzt werden. Bild 6-92
beobachtet. Wird als Lebensdauer die Zeit defi- zeigt zwei Beispiele.
niert, nach welcher der Koppelfaktor auf 50%
invertierend b) nicht invertierend
des Neuwertes abgenommen hat, so kann im
Mittel mit einer Lebensdauer von etwa 10"^ bis
10^ Stunden gerechnet werden. Die Lebens-
dauer wird verkurzt durch groBe Strome, hohe
Temperatur und Spannung. Keinesfalls darf im
Betrieb die in den Datenblattern angegebene r
Prufspannung angelegt werden. I t<^ I $ :Wj
Von der riesigen Zahl von Schaltungen, die mit
den verschiedenen Optokopplern moghch sind,
sollen nur wenige vorgesteUt werden. Bild 6-91
HJ
zeigt zwei Schaltungen zur Ubertragung von
Analogsignalen. Die Aussteuerung des Senders
in Teilbild a entspricht der Schaltung von Bild
5
6-21, der Empfangstransistor ist als Emitterfol- Bild 6-92. Ansteuerung von logischen Schaltungen.
ger geschaltet. Die Aussteuerung darf nicht zu
groB sein, um die Verzerrungen in Grenzen zu
halten. Fiir hohere Anspriiche kann gemaB 6.7 Lichtwellenleiter
Teilbild b die Eingangsspannung in eine Im-
pulsfolge umgewandelt werden, deren Frequenz Nach Bild 6-1 besteht das Prinzip der optischen
zur Spannung proportional ist. Nach der Ober- Nachrichteniibertragung darin, daB die Strah-
tragung wird die Impulsfolge wieder in eine lungsleistung eines optischen Senders durch
proportionale Spannung umgesetzt. Die Linea- elektrische Signale moduhert wird. Das modu-
ritat der Ubertragung hangt in diesem Fall lierte Lichtsignal, das die zu iibermittelnde In-
formation tragt, wird auf einem dielektrischen
einfache NF-Ubertragung Lichtwellenleiter (LWL) gefiihrt und einem
Empfanger zugeleitet, der das elektrische Signal
wieder gewinnt. Als Sender werden schnell mo-
dulierbare LED oder Halbleiterlaser eingesetzt
(Abschn. 6.3); geeignete Empfanger sind Foto-
dioden (Pin, APD, Abschn. 6.5.4). Gegenuber
L
der klassischen Nachrichteniibertragung auf
Kupferkabeln weist diese Technik groBe Vor-
H^-^ teile auf:

b)
5n
Verwendung von U/f- und //6/-Wandlern
- groBe Ubertragungsbandbreite,
- geringe Dampfung,
- Potentialtrennung von Sender und Empfan-
ger,
- unempfmdhch gegeniiber elektromagneti-
schen Storfeldern,

r-M- f/U-
Wandler
- kein Nebensprechen, hohe Abhorsicherheit,
- unempfindhch gegeniiber chemisch aggressi-
U/f-
Wandlerl
t^3-tj | "T"
I
*^ |
ir = k^k2U^
ver Umgebung,
- kleiner Kabeldurchmesser und geringes Ka-
belgewicht.
Die Fuhrung des Lichtes in einem Lichtwellen-
Bild 6-91. Analogubertragung mit Optokopplern. leiter beruht auf der Totalreflexion. Bild 6-93 a
294 6 Optoelektronik

zeigt den schematischen Aufbau einer Stufen- breitungsfahigen Moden betragt fiir eine Stu-
indexfaser. Ein Kern mit dem Brechungsindex fenindexfaser naherungsweise
n^ ist von einem Mantel mit dem kleineren Bre-
chungsindex ^2 umgeben. An der Grenzflache
von Kern und Mantel wird ein Lichtstrahl total
reflektiert, wenn er ausreichend flach auf die
Grenzflache auftrifFt. Dazu muB der Winkel e Fiir eine Faser mit a = 25 |j,m und A^ = 0,2
zwischen Strahl und Lot groBer sein als der sind dies bei A= 1,3 )im N=292 Moden, bei
Grenzwinkel der Totalreflexion £g, der gegeben A=850nm N=6S3 Moden. Da auf Fasem
ist durch dieses Typs viele Moden laufen, werden sie als
Multimode- oder Vielwellenfasern bezeichnet.
sin 8 = n2/n^. (6-70) Aus Bild 6-93 a geht hervor, daB verschiedene
Strahlen unterschiedhche Wege zuriicklegen,
Durch Anwendung des SnelliusschQn Brechungs- bis sie an das Faserende gelangen. Die dadurch
gesetzes (W. SNELL VON RAYEN, 1591 bis 1626) hervorgerufene Verbreiterung kurzer Licht-
auf die Brechung an der Faserstirnflache folgt pulse nennt man Modendispersion. Der Lauf-
fur den Neigungswinkel 6 eines Strahles relativ zeitunterschied At zwischen dem Strahl mit dem
zur optischen Achse sinO = n^ sin(90° —e). langsten und dem kiirzesten Weg betragt
Der Akzeptanzwinkel einer Faser ist der maxi-
male Winkel, unter dem ein Lichtstrahl auf die
Faserstirnflache fallen darf, damit er im Faser-
innern durch Totalreflexion gefuhrt wird. Aus
den beiden obigen Gleichungen folgt fiir diesen dabei ist L die Faserlange und CQ die Vakuum-
Winkel hchtgeschwindigkeit. In der Praxis ergibt sich
eine Impulsverbreiterung von At = 30 bis
sm^^ax=^N = V ^ (6-71) 50 ns/km und damit ein Bandbreite-Ldnge-Pro-
dukt von B ' L^30 MHz • km.
Der Sinus des maximalen Offnungswinkels Aus Gl. (6-74) folgt, daB die Zahl der gefiihrten
wird auch als numerische Apertur A^ der Faser Moden abnimmt, wenn das Verhaltnis (a/X)
bezeichnet. Mit Hilfe der normierten Brechzahl- kleiner wird. Ist schlieBlich AT = 1 , so liegt eine
differenz Monomode- oder Einwellenfaser vor, bei der
sich nur noch eine Mode ausbreiten kann (tat-
ri2
1- (6-72) sachhch sind es zwei mit zueinander senkrech-
rh ten Polarisationsrichtungen). In der Strahlen-
optik entspricht dieser Fall einem einzelnen
folgt aus Gl. (6-71) fur die numerische Apertur Lichtstrahl, der sich auf der Fasermitte ausbrei-
tet. Die Bedingung fur das Auftreten nur einer
sin^^ax = A^^n^ yiz. (6-73) Mode ist nach der genauen Theorie

Bei einer Faser aus Quarzglas (Si02), deren


Kern mit Ge02 dotiert ist, sind die Brechungs-
indizes typischerweise n^ = 1,465 und ^2 = 1,45.
Damit wird A = 0,01, A^ = 0,21 und 0^^^ = 12°. Bei gegebener Wellenlange A wird eine Faser
Nach den Gesetzen der geometrischen Optik also dann einwellig, wenn der Kerndurchmesser
werden in der Faser alle Strahlen gefuhrt, die 2a < 2,405 1/{KA^) ist. Bei einer Faser mit der
mit einem Winkel 6 < 9^^^ auf die Faserstirnfla- numerischen Apertur A^ = 0,2 und der Wellen-
che fallen. Tatsachhch zeigt aber die wellentheo- lange /I = 1,3 |im ist dies der Fall fiir 2 a < 5 jiim
retische Behandlung durch Losung der Max- und bei X = 850 nm fur 2a < 3,3 [im. Mono-
w^//schen Gleichungen, daB nur eine begrenzte modefasern haben also einen sehr diinnen Kern
Zahl von Schwingungsmoden auf der Faser aus- und stellen dementsprechend groBe Anforde-
breitungsfahig ist. Alle anderen Wellen loschen rungen bei der Herstellung und Handhabung
sich durch Interferenz aus. Die Zahl der aus- (Stecker, SpleiBe). Dafiir tritt bei der Mono-
6.7 Lichtwellenleiter 295

modefaser keine Modendispersion auf, so daB Wert ^2 erreicht ist. Das Brechzahlprofil wird in
wesentlich hohere Bandbreiten als mit Multi- der Kegel durch folgende Funktion beschrie-
modefasern erzielt werden konnen. ben:
Bei der Monomodefaser ist die Ubertragungs-
kapazitat durch die Materialdispersion be- n{r) = n^ ^\-lA(rjdf- fiir r<a
grenzt. Da in jedem Material der Brechungs- und (6-78)
index von der Wellenlange abhangt, haben
Lichtwellen verschiedener Wellenlange unter- n (r) = n^ -JX — IA = ^2 fur r > a .
schiedliche Geschwindigkeiten (c = CQ/U). Nun
weisen alle Sender eine gewisse spektrale Breite Je nach Wahl des Exponenten a ergeben sich
AX auf, wodurch sich eine Impulsverbreiterung bestimmte Konturen, beispielsweise beschreibt
ergibt, die gegeben ist durch a = 1 eine Dreiecksfunktion und a = oo ein Stu-
fenprofil. Meist werden Parabelprofile mit
a = 2 hergestellt. Obwohl auch bei der Gradien-
At = MLM = -LAX. (6-77) tenfaser verschiedene Strahlen unterschiedhche
Cc, dX^
Wege zurucklegen, ist die Impulsverbreiterung
sehr gering, da die Strahlen mit dem groBten
Ein Puis wird demnach um so mehr verbreitert,
Weg iiberwiegend in Gebieten mit kleinem Bre-
je breitbandiger das Sendersignal ist. Optimal
chungsindex laufen, wo sie eine groBe Ge-
sind daher die schmalbandigen Monomodelaser
schwindigkeit haben, so daB der Umweg kom-
(Abschn. 6.3.3). Die Materialdispersion M =
pensiert wird. Fiir die Impulsverbreiterung gilt
(X/CQ) {d^n/dX^) wird fur Quarzglas in der Nahe
von X = 1,3 jim null, so daB fur diese Wellen-
lange die Dispersion verschwindet. Praktisch At' l^^A2 (6-79)
erreicht man auf Monomodefasern Bandbreite-
Lange-Produkte von B • L>30GHz • km.
Eine weitere Multimodefaser, jedoch mit besse- Fiir eine Faser mit A = 0,01 ergibt sich At/L =
ren Ubertragungseigenschaften als die Stufen- 250 ps/km. Auf Gradientenfasern sind demnach
indexfaser ist die in Bild 6-93 b gezeigte Gra- wesentlich hohere Ubertragungsraten moglich
dientenfaser. Bei ihr nimmt der Brechungsindex als auf Stufenindexfasern. Das Bandbreite-Lan-
vom Maximalwert n^ in der Fasermitte konti- ge-Produkt betragt rund B - L^3 GHz • km.
nuierlich nach auBen ab, bis im Mantel der Wird in eine Faser die Strahlungsleistung %

Bild6-93. Fasertypen: a) Stufenindexfaser, b) Gradientenfaser.


296 6 Optoelektronik

eingekoppelt, so kommt nach Durchlaufen der gen bei a ^ 0,2 dB/km bei der Wellenlange
Strecke L nur noch die Leistung A = 1550nm. Dies ist gleichbedeutend mit
einem Leistungsverlust von nur 4,5%/km.
^ = 0(.Q~ (6-80) Kunststoffasern haben dagegen wesentlich gro-
Bere Verluste. Ihre Dampfung ist am geringsten
an. K wird als Extinktionskoeffizient bezeichnet. im Wellenlangenbereich 500 bis 600 nm und be-
Die Abnahme beruht sowohl auf der Streuung tragt etwa 100 dB/km.
des Lichts an kleinsten Hindernissen (Ver- Die Eigenschaften von Lichtwellenleitern sowie
unreinigungen, Luftblaschen, mikroskopische deren Verwendung sind in D I N VDE0472,
Schwankungen des Brechungsindex) als auch 0888 und 0899 genormt.
der Absorption an Fremdstoffen (Metallionen
und insbesondere OH~-Ionen). In der Praxis
hat sich anstelle des Extinktionskoeffizienten Zur tJbung
der Ddmpfungskoeffizient oc durchgesetzt, der die
Leistungsabnahme in dB/km angibt: U6-1: Eine LED hat senkrecht zur emittierenden
Kristallflache die Lichtstarke 7^ = 50 mod. In wel-
chem Abstand von der Diode betragt die Beleuch-
tungsstarke noch £^ = 101x7
tJ6-2: Bei einer gelben LED der Wellenlange X =
Aus Bild 6-94 geht hervor, daB die Dampfung 590 nm betragt die Strahlungsleistung ^^ = 40 |iW
beim Strom /p = 10 mA. a) Wie groB ist der externe
mit steigender Wellenlange abnimmt. Dies be-
Quantenwirkungsgrad ^^^t? b) Berechnen Sie die ge-
ruht auf der geringer werdenden Streuwahr- samte Rekombinationsrate R beim Strom /p = 10 mA.
scheinlichkeit, die nach Rayleigh (LORD RAY-
LEiGH, 1842 bis 1919) proportional zu A""^ geht U 6-3: Eine rote LED wird mit einem Vorwiderstand
(Theorie des Himmelsblaus). Die scharfen Spit- in Reihe an eine Batterie der Spannung U = 12 V
angeschlossen. Welchen Wert muB der Vorwiderstand
zen in der Dampfungskurve riihren von der Ab- R^ besitzen, wenn der Strom I^= 10 mA betragen
sorption des Lichtes an OH~-Ionen her. Beson- soil?
ders geeignet zur optischen Nachrichteniiber-
mittlung sind offenbar Wellenlangen nahe U 6-4: Eine LED wird nach Bild 6-18 betrieben. Der
1,3 [im und 1,55 [im. Dies ist moglich mit Sen- Strom betragt im Arbeitspunkt /p = 22,4 mA, die
Batteriespannung ist C/g = 9 V. a) Welche Stromande-
dern aus quaternaren Halbleitern (InGaAsP). rung ergibt sich, wenn die Batteriespannung um 5%
Bei der Wellenlange von GaAs-Sendern abnimmt? b) Welcher Vorwiderstand Ry ist erforder-
(850 nm) ist die Dampfung deutlich groBer, so lich, wenn bei (7B = 5 V derselbe Strom flieBen soil
daB diese Wellenlange fur eine Weitverkehrs- wie bei 9 V? c) Die Spannung wird jetzt von 5 V um
iibertragung nicht giinstig ist. Die besten er- 5% reduziert. Wie groB ist hierbei die Stromande-
reichten Dampfungswerte von Glasfasern lie- rung?
U6-5: Bestimmen Sie den differentiellen externen
1 Quantenwirkungsgrad des Lasers von Bild 6-25 bei
25 °C. Wie groB ist die charakteristische Temperatur
\
U6-6: Ein Halbleiterlaser emittiert Strahlung der
1^ 3
\ Wellenlange A = 1,3 \im. Beim Strom /p = 30 mA und
\ der Temperatur ^^ = 25°C betragt die Strahlungslei-
stung ^^ = 2,6 mW Der differentielle Quantenwir-
o 2 kungsgrad des Lasers ist ^^^^ = 0,31. a) Bestimmen Sie
den Schwellstrom I^^ ^ des Lasers, b) Der Strom des
\\ Lasers wird um den mittleren Strom /p = 30 mA
£ 1 sinusformig moduhert mit einer Stromamphtude von
r = 1 mA. Wie groB ist die Amplitude der moduHerten
^—-•l-..^J_^-- Strahlungsleistung? c) Die charakteristische Tempe-
600 800 1000 1200 1400 1600 1800 ratur fur die Verschiebung des Schwellstroms betragt
Wellenlange X/ nm TQ = 65 K. Wie groB ist der Schwellstrom 7^^, 2 bei der
Bild 6-94. Ddmpfungsverlaufbei einer Glasfaser; der Temperatur ^2 = 10°C? d) Berechnen Sie die Strah-
Anteil der Rayleigh-Streuung ist gestrichelt gezeichnet. lungsleistung ^2 bei der Temperatur ,92 und dem
6.7 Lichtwellenleiter 297

Strom /p = 30 mA unter der Voraussetzung, da6 auch der optimale Lastwiderstand Ril b) Welche Signal-
bei der neuen Temperatur der Quantenwirkungsgrad spannung U^ ist zu erwarten? c) Welche maximale
r]^^^ = 0,31 betragt. Modulationsfrequenz f^^ sollte nicht iiberschritten
werden?
U6-7: Wie lang muB ein InGaAsP-Laser sein, wenn
bei A = 1,3 ^AHi der Modenabstand 8A = 2nm betra- U 6-10: Bestimmen Sie aus Bild 6-69 b den Fiillfaktor
gen soil? Der Brechungsindex ist n = 3,3; die Disper- Fp der Solarzelle sowie den optimalen Lastwider-
sion soil vernachlassigt werden. stand fiir den Serienwiderstand a) K^ = 0 und b)
U6-8: Ein Fotoleiter aus PbS besitzt bei A = 1,5 fxm
die bezogene Detektivitat D* = 10^^ cm Uz^'^WK U6-11: Zur Messung der Dampfung wird in eine
Seine lichtempfmdliche Flache ist ^ = 10 mm^. a) lange Glasfaser Licht eingekoppelt und am hinteren
Welche minimale Strahlungsleistung kann bei einer Ende die ankommende Leistung gemessen. Wird von
Bandbreite des MeBsystems von B = 1 Hz gerade der Faser die Lange AL= 10 m abgeschnitten, dann
noch nachgewiesen werden? b) Wie groB ist der Pho- nimmt die Leistung am Detektor von <P = 240 jxW
tonenstrom N (Zahl der Photonen, die je s auf den um A^ = 3 jiW zu. Wie groB ist der Dampfungskoef-
Detektor treffen) bei dieser Strahlung? fizient a (in dB/km) der Faser?
if 6-9: Ein Fotowiderstand aus PbS hat den Dunkel- U6-12: Bei der Wellenlange ;i = 900 nm betragt
widerstand i^^ = 1 MQ und die Minoritatslebens- die Materialdispersion einer Glasfaser M = 80 ps/
dauer i = 250 jis. Er wird in Reihe mit einem Last- (km • nm). Wie groB ist die Laufzeitdifferenz At zwi-
widerstand an eine Batterie der Spannung U^ = \20Y schen dem schnellsten und dem langsamsten Puis
angeschlossen und erfahrt bei Bestrahlung eine Wi- eines Lasers der Linienbreite AA = 4 nm, wenn die
derstandsanderung von AK = 4 kQ. a) Wie groB ist Faserlange L= 1,2 km betragt?
298 7 Sensoren

laBt sich eindrucksvoll durch Zahlen belegen:


7 Sensoren Der Sensor-Weltmarkt umfaBte im Jahre 1990
ein Volumen von etwa 32 Milliarden DM. Da-
7.1 Grundlagen von entfielen auf Westeuropa etwa 10 MilUar-
den DM. Bis zum Jahre 2000 wird der Sensor-
7.1.1 Definition und Einteilung markt auf ein Volumen von 68 Milliarden DM
ansteigen. Die Hauptanwendungsgebiete sind
Ein Sensor (lat.: sensus, Sinn) wandelt eine phy-
das Automobil (50%), der Haushalt (26%), die
sikalische Grofie (z.B. Kraft oder Temperatur)
Aufgaben in der industriellen Fertigung auf den
mit Hilfe eines physikalischen Effektes in ein
Gebieten Steuern, Messen, Regeln (14%), die
weiterverarbeitbares elektrisches Signal (z.B.
Daten- und Kommunikationstechnik (5%) so-
elektrischer Widerstand, Spannung oder Strom)
wie die Unterhaltung (5%). Praktisch in alien
um, wie dies Bild 7-1 zeigt. Das Sensorelement
Branchen wird mit einem weiter steigenden
erfullt dabei die Funktion des Aufnehmers, eines
Sensorbedarf gerechnet. Griinde hierfiir sind
Wandlers und eines Verstdrkers. Man entwik-
die gesetzlich verordneten Abgasbestimmun-
kelt zunehmend integrierte Sensoren, die im
gen, der Zwang zum sparsamen Benzinver-
Sensorelement bereits eine Signalvorverarbei-
brauch, die Erhohung der aktiven und passiven
tung ermoglichen (gestrichelte Linie in Bild 7-1).
Fahrsicherheit und der Wunsch nach erhohtem
Hierbei konnen genormte Ausgangssignale er-
Fahrkomfort. Sensoren in diesem Bereich miis-
zeugt werden, die von Umgebungseinfliissen
sen harte technische Bedingungen (z. B. groBe
wie Temperaturdifferenzen oder Spannungs-
Temperaturbereiche, StoBfestigkeit, Sicherheit
schwankungen unabhangig sind. Das Sensor-
bei Feuchtigkeit und Schwingungen) erfullen
signal kann auch hydraulische und pneuma-
und zudem noch preisgiinstig sein. Auch in den
tische AusgangsgroBen liefern. Solche Mefi-
Haushaltsgeraten setzt man zunehmend Senso-
wertaufnehmer steuern die angeschlossenen
ren ein, weil sie kostengiinstig sind und ihre
Stellglieder direkt an.
MeBaufgaben iiber lange Zeit zuverlassig erfiil-
Sensoren werden zweckmaBigerweise nach den len.
physikalischen MeBgroBen und nach den ver-
Sensoren mit Mikroelektronik bieten im Ma-
wendeten physikaHschen Effekten (MeBprinzi-
schinenbau und in der Fertigungstechnik fol-
pien) eingeteilt (Bild 7-2).
gende technischen Vorteile:
Bei der Auswahl der Sensoren ist es wichtig,
den Wertebereich der MeBgroBe und den Tem- - Produktivitdtssteigerung
peraturbereich, in dem er eingesetzt wird, zu Mit Sensoren steuert man Roboter. Durch
kennen. Tabelle 7-1 zeigt eine Zusammenstel- die zunehmende Automatisierung wird die
lung der wichtigsten physikaHschen MeBgro- Produktivitat gesteigert (verringerter Perso-
Ben und ihre verwendeten MeBprinzipien, fer- naleinsatz bei erhohter Produktion). Sen-
ner den Werte- und Temperaturbereich. soren dienen aber auch zur automatischen
Uberwachung von Fertigungsprozessen (z. B.
galvanische Bader) und Fertigungsmitteln
7.1.2 Wirtschaftliche und technische
(z. B. Werkzeuge). Dadurch kann man mog-
Bedeutung Hche ProzeB- und Produktionsfehler zum
Die wirtschaftliche Bedeutung von Sensoren friihestmogUchen Zeitpunkt vermeiden.

Aufnehmer Wandler Verstarker


Signalvor-
beriihrend • analog/digital —»- • linear verarbeitung
Sensorsignal
berijhrungslos • digital/analog • nicht linear
Sensorelement

integrierter Sensor
U
Bild 7-1. Prinzip des Sensors.
7.1 Grundlagen 299

mechanische GrofSen
mechanisch
in Festkorpern
—Weg, Position
-Winkel
— Geschwindigkeit
— Drehzahl
^Impuls
kapazitiv
-Beschleunigung
-Kraft
-Drehmoment
L-Arbeit

mechanische GrofSen in
FliJssigkeiten und Gasen induktiv

-Druck
-DurchfluR
-Fijllstand
-Dichte
'—Viskositat
Wirbelstrom

thermodynamische
Groden

HTemperatur
i-Warmekapazitat Widerstand
AR=f(F,s,T...)
Schwingungen

-Zeit, Frequenz
-Zahler
1—Pulsdauer c DMS
A/?-As
optische GrofJen
a
E
N
CD
-Wellenlange C
'O
LU
-Lichtintensitat magnetostatisch
m -Leuchtdichte 1 a
i-

akustische GroRen O CD
CO

C
-Schalldruck o
-Schallfrequenz thermoelektrisch
CO

atomphysikalische
GrofSen

-Strahlungsenergie
fotoelektrisch
-Strahlungsdosis

elektrische und
magnetische GrofSen

- Ladung piezoelektrisch
— Strom
—Spannung
-Widerstand
— Leistung
— Frequenz
— Phase
— elektrisches Feld akustisch
— magnetisches Feld
— Kapazitat
— Induktivitat
— Dielektrizitatszahl

chemische GrolSen optisch


*"
— Konzentration
-pH-Wert
—Zusammensetzung

-elektrische Leitfahigkeit
chemisch
L-lonisationsgrad

Bild 7-2. Einteilung der Sensor en. V


elektrische GroBe
300 7 Sensoren

Tabelle 7-1. Ubersicht iiber Sensoren.


[\ MeB-
\ prinzip

MeB\ mecha- kapazitiv induktiv Wirbel- Wider- DMS Hall- thermo-


groBe \ nisch strom stand Effekt elektrisch

Lange, beliebig beliebig beliebig 0,5 bis beliebig beliebig bis 7 mm


Weg, (-70°C (-160°C (-200°C (-75°C (-55°C (-196°C (-20°C
Posi- bis bis bis bis bis bis bis
tion + 100°C) + 100°C) + 150°C) 4-1082°C) -hl80°C) + 200°C) -h85°C)
m

Win- bis 3 bis 6 bis 6 bis 6 bis 1 bis 2


kel- (0°C (0°C (-55°C (-55°C (-20°C (-25°C
rad bis bis bis bis bis bis
70 °C) 75 °C) 125 °C) 125 °C) 60 °C) 85 °C)

Ge- bis 333 bis 60 bis 6000 30 bis 60


schwin- (-35°C (-25°C (-70°C (0°C
digkeit bis bis bis bis
m/s 230 °C) 95 °C) 260 °C) 60 °C)

Dreh- bis 25 bis 30000 bis 1500 bis 180000 50 bis


•S zahl (-20°C ( - 7 3 °C (-20°C ( - 2 5 °C (0°C 20000
(=3 1/s bis bis bis bis bis (-20°C
CQ
80 °C) 150°C) 70 °C) 80 °C) 60 °C) bis
••o 80 °C)
0

Be- bis 200 bis 2500 bis 17000


-^ schleu- (-25°C (-40°C (-53°C
nigung bis bis bis
m/s^ 70 °C) 120°C) 121 °C)

Kraft bis 250000 bis 24000 bis


N (-20°C (0°C) (-54X 200 • 10^
bis bis (-200°C
90 °C) 93 °C) bis
400 °C)

Druck bis bis bis bis bis bis


Pa 41 • 10^ 200 • 10^ 70 • 10^ 120 • 10^ 4-10^ 60 • 10^
(-40°C (-54°C (-45°C (-54°C (-272°C (-25°C
bis bis bis bis bis bis
125 °C) 150°C) 150°C) 125 °C) 538 °C) 60 °C)
7.1 Grundlagen 301

Einsatz- (Werkfotos: ^lE-MeB-


gebiete technik, Datron, Thal-
heim, Kistler, Keller,
Honeywell, Hottinger-
Baldwin, Eckardt,
foto- piezo- akustisch optisch magne- Fischer u. Porter,
elektrisch elektrisch tisch Endress u. Hauser, Delta)

1 bis 40 beliebig »Abstandsmessung


(-40°C (-40°C (0°C » Lagebestimmung
bis bis bis » Positionsbestim-
+ 120°C) + 100°C) 125 °C) mung

bis 6 • Verformung
(-40°C (0°C • Spiel
bis bis • Banddurchmesser
70 °C) 125 °C) • Winkelstellungen

wki^s.-.
bis 1000 bis 300 ' Vorschub- Fotoempfanger Spritzschutz
11 Beleuchtung / M
(-45 °C (0°C geschwindigkeit
bis bis ' Maschinen-
260 °C) 70 °C) leistung
Strahlengang -/;|^g
MeKgitter MeBobjekt

bis 16667 bis 20000 bis 20000 Stuck je Zeit


(-50°C (0°C) (-55°C (0°C Drehzahl
bis bis bis
250 °C) 125 °C) 125°C)

%.

bis 10^ ' Schockmessung


(-269°C ' Vibrations-
bis messung
650 °C) ' Reifenpriifstand #

bis 10^ • Verbrennungs-


(-196°C
bis
200 °C)
driicke
• Stempelkrafte
an Pressen \
f
• Druckvorlaufe
in Ventilen

bis 10^ • Materialpriifung


(-270°C • Forminnendruck
bis in SpritzgieB-
400 °C) maschinen
• Windkanal-
versuche
302 7 Sensoren

Tabelle 7-1. Ubersicht uber Sensoren (Fortsetzung).


NT MeB-
\ prinzip

MeB- \ mecha- kapazitiv induktiv Wirbel- Wider- DMS Hall- thermo-


groBe \ nisch strom stand Effekt elektrisch

« £ Dreh- bis 50000 bis 400000


mo- (-10°C (-54°C
ment bis bis
0 ^ Nm 70 °C) 100°C)
4:i o
8fc
s.s
Full- (-20°C bis bis
stand bis 500000 m 880000 m
m 180°C) (-50°C (-35°C
bis bis
300 °C) 60 °C)
V5

0
^3 Mas- bis 83
;3 sen- (-30°C (0°C)
durch- bis
"S fluB 80 °C)
kg/s

E
Volu- bis 40-10^
men- (-15°C (-10°C (-25 °C (-55°C
:0 durch- bis bis bis bis
0 fluB 200 °C) 60 °C) 80 °C) 125 °C)
m^/s
'S
4:3
Feuchte bis 80 bis 95 bis 10000 bis 170
% (0°C (-20°C (-54°C (-10°C
a bis bis bis bis
65 °C) 70 °C) 93 °C) 60 °C)

Tempe- bis 15 bis 100 bis 110 bis 3870 bis 200 bis 2300
ratur (-10°C (0°C (-10°C (-261 °C (-20°C (-240°C
6 .-^ °C bis bis bis bis bis bis
fi a 200 °C) 40 °C) 110°C) 3870°C) 200 °C) 2200°C)
r« >.
H 73
7.1 Grundlagen 303

Einsatz- (Werkfotos: ^iE-Me6-


gebiete technik, Datron, Thal-
heim, Kistler, Keller,
Honeywell, Hottinger-
Baldwin, Eckardt,
foto- piezo- akustisch optisch magne- Fischer u. Porter,
elektrisch elektrisch tisch Endress u. Hauser, Delta)

(0°C) • Leistungsmessung
• Drehmoment-
uberwachung

bis Fullhohenuber-
(-30°C (0°C wachung von
bis bis Schiittgutern und
180°C) 45 °C) Fliissigkeiten

• chemische Ver-
fahrenstechnik
• Dosierung von
Zusatzstoffen

bis 5000 bis 5


(-54°C (0°C
bis bis
315°C) 100 °C)

• Trockner
• Restfeuchte in
Schiittgiitern
• Feuchte bei
Lagerung

bis 3500 • Temperatur-


(0°C) (-100°C kontrolle
bis • Temperaturrege-
700 °C) lung
304 7 Sensoren

Flexible Fertigung iiberwiegend zur Positionierung oder zur Uber-


Diese ermoglicht es, geringe Stiickzahlen be- wachung der Maschinenablaufe.
stimmter Produkte zu giinstigen Kosten zu
fertigen. b) Induktive Ldngenmessung
Qualitdtssicherung
Eine automatische Qualitatspriifung wah- Nach dem Induktionsgesetz induziert die An-
rend des Fertigungsprozesses ermoglicht eine derung des magnetischen Flusses d^jdt eine
gleichbleibend hohe Qualitat der Produktion Spannung u-^^^ {w^^^ = —Nd^/dt mit N als Win-
dungszahl). Hier nutzt man, abhangig von der
und laBt fehlerhafte Qualitat sofort am Ort
Lage der beweglichen Spule, die unterschied-
des Entstehens erkennen.
lichen Koppelfaktoren aus. Durch Verschieben
Verbesserung der Arbeitsbedingungen eines Eisenkerns innerhalb verschiedener Spu-
Die Automatisierung verringert die Arbeits- len andert sich das Spannungssignal proportio-
platze mit erhohter physischer Belastung und nal zum Weg des Kerns. In der Praxis gibt es
Beriihrung mit Giftstoffen (z.B. Lackie- eine Primarspule und zwei Sekundarspulen.
rung). Ferner kann man gefahrliche Bereiche Wird ein Wechselspannungssignal an die Pri-
besser sichern. marspule gelegt, dann wird in den beiden Spu-
Verringerung des Rohstoffeinsatzes len eine Spannung unterschiedlicher Polaritat
Die Produktionsprozesse und Fertigungsver- induziert. Das Ausgangssignal ist deshalb die
fahren konnen optimal gesteuert werden, so Differenz dieser beiden Spannungen. Sie ist
daB ein minimaler Rohstoff- und Energieein- null, wenn sich der Kern im Mittelpunkt der
satz moglich wird. Spule befmdet und nimmt Hnear mit der Bewe-
Verbesserungen beim Umweltschutz gung des Kernes zu. Dieses Prinzip wird des-
Eine genaue Messung der Giftstoffe in der halb Linear-Variable-Differential-Transformer-
Luft, im Wasser und im Boden ermoglicht (LVDT-) Prinzip genannt. Bild 7-3 a zeigt den
die Uberwachung der gesetzlich zulassigen Aufbau eines induktiven Langenmessers und
Schadstoffwerte. Bild 7-3 b die Wirkungsweise.

c) Ldngenmessung nach dem


7.2 Sensoren fur die wichtigsten Wirbelstromprinzip
MeBgroBen Bewegt man leitende Korper in einem Ma-
Aus der Fiille der in der Praxis eingesetzten gnetfeld, dann treten in diesem Korper durch
Sensoren werden als Beispiele diejenigen vorge- die induzierte Spannung Wirbelstrome auf. Mit
stellt, die im Maschinenbau am haufigsten Ver- diesem Prinzip konnen Langen und Dicken an
wendung fmden, namUch die Weg- und Posi- elektrisch leitenden Werkstoffen bestimmt wer-
tions-Sensoren, die Kraft- und Druck-Sensoren den (in der Regel zwischen 0,5 mm und
sowie die Temperatur-Sensoren. 140 mm). Diese Sensoren gehoren zu der Klasse
der beriihrungslosen Abstandsmesser (Non-
Contacting-Displacement-Transducers, NCDT).
7.2.1 Weg- und Positions-Sensoren
Wie Tabelle 7-1 zeigt, kann man Weg und Posi- d) Widerstandspotentiometer
tion nach verschiedenen MeBprinzipien mes-
sen. In der Praxis kommen am haufigsten fol- Hierbei wird die Langenabhangigkeit des Wi-
gende Methoden zur Anwendung: derstandes ausgenutzt und anhand der Aus-
gangsspannung einer Potentiometerschaltung
a) Kapazitive Ldngenmessung die Lange bestimmt (Abschn. 1.5.3).
Je geringer der Abstand d zwischen den beiden
e) Dehnmefistreifen (DMS)
Kondensatorplatten der Flache A ist, desto
groBer ist die Kapazitat C{C = EQ s^A/d). Dies Bei dieser in der Technik auBerordentUch hau-
wird bei einer beriihrungslos arbeitenden Lan- fig eingesetzten MeBmethode nutzt man den
genmessung (bis 3 mm) als Naherungsschalter Zusammenhang zwischen der mechanischen
ausgenutzt. Diese Sensoren verwendet man Dehnung s = Al/l und der Anderung des elek-
7.2 Sensoren fiir die wichtigsten MeBgroBen 305

a) Aufbau b) Wirkungsweise

Gehause aus rostfreiem Stahl und Abschirmung


elektrostatischer und elektromagnetischer Felder

! I
-150 0 50 100 150
Kernposition % von Mitte
Spule, eingebettet
in Epoxidharz I i
normaler Bereich I
(linear)
nicht
linear
hochpermeabler
Nickel-Eisen-Kern

hi 00%

Bild 7-3. Induktiver Ldngenmesser.


trischen Widerstandes AR/R aus (AR/R = ks, werkstoff und im Klebermaterial. Die Messung
wobei k ein Proportionalitatsfaktor ist). Die der Langenanderung Al /1 Qvfolgt parallel zu den
Widerstandsanderung AR bestimmt man lib- Leiterbahnen; senkrecht dazu soil das Element
licherweise durch eine Briickenschaltung (Ab- unempfmdlich sein. Die Geometrien (Bild 7-4)
schn. 1.5.4). Die DMS unterscheiden sich im richten sich dabei nach dem MeBzweck (z. B
DMS-Material, in der Geometrie, im Trager- Lange, Biegung, Torsion, Innendruck).

IIHIT

mm I mn, ^ n i

Bild 7-4. Geometrien der DMS. Werkfoto: Baldwin Mefitechnik.


306 7 Sensoren

Inzwischen sind auch DMS auf Halbleiterbasis g) Akustische Ldngensensoren


zu erhalten, die eine etwa 50fach hohere Deh- Der akustische Langensensor nach dem Ultra-
nungsempfindlichkeit aufweisen und bei denen schallprinzip kann von 0,3 mm bis zu 10,7 m
der A:-Wert positiv und negativ einstellbar ist.
die Entfernung von Objekten unabhangig von
Form, Farbe und Material auch in staubiger
f) Hall-Sensoren Umgebung mit groBer Genauigkeit messen.
Der Wegsensor sendet eine Impulsfolge (z. B. 56
Zur Positionsbestimmung wird der Hall-Effekt
Impulse innerhalb 1 ms) aus und empfangt das
ausgenutzt. Ein stromdurchflossener Leiter,
Echo. Aus der Zeitdifferenz zwischen Senden
der sich in einem Magnetfeld befindet, erzeugt
und Empfangen wird (unter Berucksichtigung
eine Hallspannung C/H» ^i^ senkrecht zur
der Schallgeschwindigkeit) die Entfernung be-
Strom- (7) und Magnetfeldrichtung {B) ist. Es
rechnet. Bei diesem Effekt ist eine defmierte
gilt Uy^ = koIB. FlieBt der magnetische FluB
Grenzschicht zwischen dem Medium, in dem
nicht nur im Magneten und in seinem ferro-
sich der Schall ausbreitet (z. B. Luft), und dem
magnetischen RiickschluB, dann bestimmt die
zu erfassenden Korper erforderUch. Eine solche
Lange des Luftspaltes (x) die Induktion (B^
Grenzschicht entsteht nur dann, wenn sich die
1/x). Als Luftspalt gelten alle Wege, die nicht in
Schallgeschwindigkeiten in beiden Medien un-
ferromagnetischem Material (gleichgiiltig, ob
terscheiden. Bild 7-6 zeigt im Teilbild a) das
hart Oder weich) verlaufen. Wie Bild 7-5a zeigt,
MeBprinzip und im Teilbild b) Bauformen des
wird beispielsweise der Hallgenerator auf ein
Wegsensors.
Keramiksubstrat gebracht, das sich auf einem
SmCo-Dauermagneten befmdet. Wird ein ma- Den Wegsensor setzt man in der Automatisie-
gnetisches Material in die Nahe gebracht, dann rungstechnik ein, beispielsweise zur Steuerung
richten sich die magnetischen FeldHnien aus, der Bandaufwicklung, zur Fiillstandsmessung,
und eine Hallspannung wird als Ausgangs- zur Positionserfassung bei Handhabungsauto-
signal Hnear zum Abstand des Werkstiickes ge- maten oder zur Wegsteuerung bei fahrerlosen
messen (LOHET: Linear-Output-Hall-Effect- Transportsystemen. Weitere Anwendungen sind
Transducer) (Bild 7-5 b). Bild 7-5 c zeigt einige die Abstandsmessung fur Fahrzeuge und die
Ausfuhrungen. Die Sensoren arbeiten bei Steuerung von Antriebsmaschinen im Tunnel-
Schaltfrequenzen bis liber 100 kHz verschleiB- bau.
frei mit Schalterspielen von iiber 20 Milliarden
und konnen Messungen bis 7 mm durchfuhren. h) Optische Ldngensensoren
Die Bauteile sind auch in SMD-Bauweise er- Optische Langensensoren verwendet man hau-
haltlich. fig zur Steuerung von Werkzeugmaschinen.

a) Aufbau des Sensors b) Wirkungsweise c) Bauformen

Hall-Generator

I I

I I ' / 4-—^— Keramik-


5i
Sensor
\ r-^ substrat

SmCo-
Magnet
"^ - #^ W • »

2 4 6 8
X I mm

Bild 7-5. Hallsensoren zur Positionsmessung. Werkfoto: Honeywell


7.2 Sensoren fiir die wichtigsten MeBgroBen 307

Bild 7-6. Ultraschall- Wegsensor. Werkfoto: Messring

a) MeRprinzip
Bild 7-7 a zeigt das MeBprinzip: Zwischen
Lichtquelle und Lichtsensor befindet sich eine Lichtquelle
Scheibe aus Quarzglas mit einem feinen Strich-
muster (etwa 100 Striche pro mm oder mehr).
Lichtquelle und -sensor sind dabei dem Werk-
zeug zugeordnet und der StrichmaBstab dem
Werkstuck. Mit diesem Sensor kann man al-
lerkleinste Relativbewegungen erfassen. Wie c===j Sensor Quarzglas mit
Bild 7-7 b zeigt, kann man auch duale Kodierun- feinem Strichmuster
gen abtasten, mit denen Informationen zur Be-
arbeitung gespeichert sind (z. B. im Gray-Kode, b) duale Kodierung
Abschn. 11.2.1, Bild 11-5). Werden die Kodie-
rungen kreisformig angeordnet, so entsteht ein
sehr genauer Winkelmesser (Abschn. 11.2.1,
Bild 11-6). Mit den optischen Sensoren sind
-f
" " ^ ^ Abtasten dualer Kodierung
auch sehr kleine Bauteile bestimmbar. (Statt
der Striche werden Teilchen erfaBt.)
Der Lichtstrahl wird meistens in einem Glas- c) Bauformen
faserkabel gefiihrt (Bild 7-7 c). Damit sind diese
Sensoren auch an schwer zugangUchen Stellen
einzusetzen.

i) Magnet is che Positionssensoren


Uber die Messung des Erdmagnetfeldes kann
man Positions-, Lage- und Bewegungsablaufe
bestimmen. Bild 7-8 zeigt ein Drei-Achsen-Ma-
gnetometer, bei dem Sensor und Auswerteelek-
tronik auf einer Platine untergebracht sind.
Man benutzt es zur Lagebestimmung von Bal-
lonen und Ozean-Bojen sowie von Raketen und
anderen Flugobjekten, aber auch zur Messung
von Magnetfeldern in der Industrie und der Bild 7-7. Optische Ldngensensoren.
Medizin. Werkfoto: Grieshaber
308 7 Sensoren

Differenzdruck zu unterscheiden, wie Bild 7-9 c


und 7-9 d zeigt. Bei der Messung des Absolut-
drucks wirkt der MeBdruck p^ gegen einen Re-
ferenzdruck im Vakuum, bei der Differenz-
druckmessung werden von auBen zwei Driicke
zugefiihrt {p^ und P2). Man miBt jeweils die
Durchbiegung des Sensors.

a) Piezoelektrische Sensoren

Bild 7-8. Drei-Achsen-Magnetometer. Bestimmte Werkstoffe (z.B. Quarz) erzeugen


Werkfoto: HELA bei einer auBeren Krafteinwirkung eine elek-
trische Spannung (piezoelektrischer Effekt).
12.1 Kraft- und Druck-Sensoren Sensoren, die diesen Effekt ausniitzen, zeichnen
sich vor allem durch eine extreme Temperatur-
Sensoren, die eine Kraft i^messen (Bild 7-9 a), belastbarkeit (von -270°C bis 400 °C) aus und
kann man zur Druckmessung/? (meist fiir Fliis- einen MeBbereich, der sich iiber mehrere Zeh-
sigkeiten und Gase) einsetzen, wenn die Kraft F nerpotenzen erstreckt (von 0 Pa bis 10^ Pa).
in bezug auf eine klar bestinimte Fache {p = Weiterhin vorteilhaft ist die dynamische Mes-
F/A) bestimmt wird (Bild 7-9 b). sung des Drucks. Solche Sensoren fmden vor
Die Kraft muB als Vektor bestimmt werden, allem Einsatz bei Schwingungsmessungen (Be-
d. h. der Betrag, die Richtung und der Angriffs- schleunigungen) periodischer und nicht peri-
punkt sind festzustellen. Haufig ist die Richtung odischer Vorgange, beispielsweise an hydrau-
durch eine entsprechende Krafteinleitung noch lischen Systemen wie bei Einspritzpumpen fur
zu bestimmen, der Angriffspunkt muB aber be- Dieselmotoren. Bild 7-10 zeigt den piezoelektri-
kannt sein. Zur Messung von Kraften und schen Effekt (Teilbild a): Eine auBere Kraft ver-
Driicken verwendet man iiberwiegend Dehn- schiebt das Kristallgitter, so daB die elektri-
meBstreifen (DMS), deren Wirkungsweise be- schen Dipole an die beiden AuBenseiten ver-
reits im vorigen Abschnitt behandelt wurde, schoben werden und damit eine elektrische
weshalb hier nur die Dunnschicht-DMS behan- Spannung auftritt. Teilbild 7-10 b zeigt den
delt werden (piezoresistiver Sensor). Bei der Aufbau eines Sensors und Teilbild c eine Bau-
Druckmessung ist zwischen Absolutdruck und form.
a) Kraftmessung b) Druckmessung b) Piezoresistive Sensoren

J'I
Der aufgebrachte MeBdruck bewirkt eine ela-
F stische Durchbiegung einer Membran aus SiH-
cium. In diese Membran ist eine Wheatstone-

1 ljl^^^^^^ r
1\
A \ sche Briicke aus halbleitenden Widerstands-
elementen eindiffundiert, die sich proportional
zum MeBdruck verstimmt. Bild 7-11 zeigt die
II MeBzelle, die zugehorige Auswertungsschal-
tung und das Beispiel einer Bauform.
c) Absolutdruckmessung d) Differenzdruckmessung Piezoresistive Sensoren messen im Gegensatz
MeRdruck MeRdruck zu piezoelektrischen Sensoren statische Driicke
und halten keine extrem hohen Driicke aus.

— Sensor -Sensor
7.2.3 Temperatur-Sensoren
i i i1 i
1 Die Temperatur ist eine in der Praxis sehr hau-
1 ij
1 1 fig zu messende GroBe. Zum einen sind in
Referenz- ,' MeRdr j c k Halbleiterbauelementen die meisten Eigen-
druck) P2
schaften stark temperaturabhangig und zum
Bild 7-9. Kraft- und Drucksensoren. anderen sind in vielen Technologien genaue
7.2 Sensoren fiir die wichtigsten MeBgroBen 309

a) piezoelektrischer Effekt b) Aufbau c) Bauform

Krafteinleitungs-
unbelasteter Zustand Kopfpartie

Stiitzmembrane

Quarzstabe
(Sensor)

//Elektrode

belasteter Zustand

Stecker

Gehause

KraftabstiJtzungs-
Bodenpartie

Bild 7-10. Piezoelektrischer Sensor fur hohe Krdfte und hohe Temperaturen. Werkfoto: Kistler

Temperaturprofile einzuhalten. Zur Tempera- elektrischen Effekt, gangige Werkstoffe nach


turmessung stehen, wie Tabelle 7-1 zeigt, sehr DIN-IEC 584 und zugehorige Bauformen.
viele MeBprinzipien zur Verfugung.
b) Widerstandsthermometer
a) Thermoelemente
Die Temperaturabhangigkeit des Widerstandes
Die Messung beruht auf dem thermoelektri- kann zur Temperaturmessung herangezogen
schen Effekt, bei dem bestimmte Werkstoff- werden. Verwendung fmden vor allem Heifi-
paare bei einer Temperaturdifferenz eine Span- leiter (NTC-Widerstande), Kaltleiter (PTC-Wi-
nung erzeugen. Bild 7-12 zeigt den thermo- derstande) und das NTC-Silicium (s. ausfuhr-

a) Sensorelement b) Kennlinie c) Auswertung d) Bauform

Piezowiderstande Temperatur-
stabilisierung Analog-
Speisespannung ausgang

®I rFh
C4
Sensor BriJcken- Digital-
Differenzsignal voltmeter
Druck p / Pa

Bild 7-11. Piezoresistive Sensoren. Werkfoto: Kistler


310 7 Sensoren

a) thermoelektrischer Effekt b) Werkstoffpaare nach c) Bauformen


DIN-IEC584

MelSstelle ^ ^ ^
Cu - CuNi
Fe-CuNi
1I NiCr-CuNi
NiCr-NiAl
NiCr-Ni
PtIORh-Pt
PtRh-Pt
-~ -"'-flHHHV'^
AT \ r Pt13Rh-Pt
Pt30Rh-Pt6Rh

JV *^--«>^

Vergleichspunkt^""^^>

Bild 7-12. Thermoelemente. Werkfoto: mawi-therm

a) MeBprinzip b) Kennlinie

Widerstandsmessung
/?(!?) =/?o(1+/AT>+5t>2)
Nach DIN-IEC751
/?o= 1 0 0 n M = 3,90802-10-2 " C ; ^ 0 . - 0 0 = ^ = 0.00385 "C-'
B =-5,802-10-7 °C-2

I
Pt auf Glas Pt-Draht auf -50 0 100 200 300 400 500 600
aufgedampft Glasrohr
(DiJnnschichttechnik) gewickelt

Bild 7-13. Platin- Widerstdnde. Werkfoto: Degussa

liche Abhandlung in Abschn. 2.2.3). Sehr ge- darf oder wo die Temperaturen zu hoch sind
naue (Toleranzen bis 0,1%) und inzwischen (z. B. bei der Temperaturmessung von Metall-
auch preiswerte Sensoren sind Platin-Wider- schmelzen, in Gliihofen oder fur Walzen-
stande, wie sie Bild 7-13 c zeigt. straBen). Tabelle 7-2 zeigt Typen von Infrarot-
Strahlungsthermometern, eingeteilt nach der
c) Optische Temperaturmessung (Pyrometer) Wellenlange, dem Temperaturbereich und den
Die Temperaturmessung mit Infrarot-Strah- Anwendungsgebieten.
lungsthermometern ist eine beruhrungslose Bei dem in Bild 7-14 dargestellten Zweifarben-
Messung, die einen sehr weiten Temperaturbe- Pyrometer miBt man die Signale zweier benach-
reich (von 0°C bis 3500°C) umfaBt. Diese Ther- barter Wellenlangen als relative Intensitaten.
mometer werden iiberwiegend dort eingesetzt, Auf diese Weise ist der EinfluB von Ranch,
wo Temperaturen an sehr kleinen, sich bewe- Staub und kleinen Partikeln (die den Strahlen-
genden Objekten zu messen sind, wo kein Kon- gang nicht ganz ausfuUen) auf die Temperatur-
takt zum messenden Objekt hergestellt werden messung ausgeschaltet, da beide Wellenlangen
7.2 Sensoren fur die wichtigsten MeBgroBen 311

Tabelle 7-2. Infrarot-Strahlungsthermometer.

Wellenlange X Temperaturbereich Anwendungen

0,9 500°C bis 3000 °C Hochtemperaturmessung im Eisenhiittenbereich


und Verfahren zur Halbleiterherstellung

0,9 bis 1,08 300°C bis 2800 °C ' Messung an Si-Wafern und Metallen
im Hochtemperaturbereich

0,91 bis 0,97 400°C bis 2000 °C Messung an GaAs-Wafern

0,95 bis 1,05 700°C bis 3500 °C Zweifarbenpyrometer fiir schwierige Hochtemperatur-
messungen (Staub, Ranch)

1,64 250 °C bis 1100°C mittlerer Temperaturbereich fiir Metalle

2 bis 2,6 80 °C bis 800 °C niedriger bis mittlerer Temperaturbereich;


fiir Metalle und Kunststoffe

3,43 0°Cbis800°C transparente Kunststoffolien und andere organische


BeschichtungsmateriaUen (z. B. Lacke, Ole).
Messung des CH-Absorptionsbandes

4,8 bis 5,2 50°Cbis 1300°C I Kontrolle von Glasoberflachen

1 7,92 20Xbis400°C transparente Kunststoffolien (z. B. Polyester


und Fluorcarbone)

8 bis 14 0°C bis 500 °C niedriger Temperaturbereich, Papiertrocknung,


Kontrolle der Aufheizung mit Infrarot-Strahlern

Bild 7-14. Zweifarben-Pyrometer.


312 7 Sensoren

gleichermaBen betroffen sind. Dies ist vor allem Tabelle 7-3. PhysikaHsche Effekte in Silicium.
in rauher Industrieumgebung (z.B. bei Hoch-
ofen) wichtig. Physikalische GroBe Effekt

Kraft, Druck, piezoresistiver Effekt


7.3 Werkstoffe und Technologien Beschleunigung

7.3.1 Siliciumtechnik Temperatur pn-Ubergang


Bahnwiderstand
7.3.1.1 Vorteile von Silicium
Als Basismaterial fur Sensoren spielt Silicium Magnetfeld Hall-Effekt
eine bedeutende Rolle, weil es folgende Vorteile
bietet: Licht Foto-Effekt,
Foto-Voltaik
- Verfugbarkeit
Silicium gehort zu den Elementen, die auf der chemisch Chem-FET
Erde am haufigsten vorkommen, so daB es (ionensensitiv)
auf lange Zeit billig verfugbar sein wird.
- Technologie
Die Herstellung eines Einkristalles gelingt heran (Abschn. 7.2.2). Statt DehnmeBstreifen
perfekt und ist preiswert. Die Fertigungstech- auf Silicium aufzubringen, konnen auch Piezo-
nologie zur Weiterverarbeitung von Silicium- widerstande in SiUcium diffundiert werden.
Einkristallen ist sehr gut zu beherrschen. Durch die Planartechnologie kann man zwei-
- Stellung zwischen Letter und Isolator dimensionale Sensorfelder (Sensor-Arrays) er-
Als Element der Gruppe 4 liegt es in seiner zeugen, die komplexe Messungen auf kleinstem
Leitfahigkeit zv^ischen den guten Leitern Raum zulassen. Mit einem solchen Sensor, der
(z.B. Metallen) und den Isolatoren (z.B. 50 Siliciumzungen unterschiedlicher Eigenfre-
Kunststoffen) und ist deshalb ein ideales quenz in der GroBenordnung einiger Mikrome-
Halbleitermaterial. Durch Zusatz von Fremd- ter hat, wertet man beispielsweise Vibrations-
atomen (Dotieren) konnen hohere Leitfahig- messungen iiber eine Fourieranalyse (Abschn.
keiten eingestellt werden; als Si02 ist es eine 1.6.4) flachendeckend aus.
glaserne Isolatorschicht.
- Werkstoffeigenschaften b) Temperatur-Sensoren
Die verschiedenen Modifikationen von Sili- Hierbei verwendet man das NTD-Silicium
cium (z. B. polykristallin oder amorph) bieten (Neutron Transmutated Doped), wie es in Ab-
vielfaltige Anv^endungsmoglichkeiten. Unter- schn. 2.2.3.2 (Bild 2-9) ausfuhrlich beschrieben
schiedliche Volumen- und Grenzschicht- ist. Diese Temperatursensoren zeichnen sich
effekte sind die Grundlage fiir ganz spezielle durch sehr enge Toleranzen, durch hohe Zuver-
Sensoranwendungen (z. B. Temperatursensor lassigkeit und Langzeitkonstanz aus und sind
oder Photozellen). Silicium ist auch ein idea- im Hoch- und Hochstfrequenzbereich einsetz-
les Tragermaterial fiir dunne Schichten. bar.

7.3.1.2 Physikalische Effekte c) Magnetoresistive Sensoren


In Tabelle 7-3 sind die verschiedenen physikali-Ein stromdurchflossener Leiter hat einen Wi-
schen Effekte in Silicium zusammengestellt, die derstand R. Wirkt ein Magnetfeld in der Ebene
fiir Sensoranwendungen von Nutzen sind. des stromdurchflossenen Leiters, so andern die
Elektronen wegen der Lorentz-Kraft ihre Bahn.
a) Kraft-, Druck- und Beschleunigungs-Sensoren Es entsteht eine transversale Spannung (Hall-
Der Silicium-Einkristall v^ird in verschiedenen Effekt), und der Widerstand R in Stromrich-
Kristallrichtungen geatzt, wodurch druckab- tung wird geringer {Magnetowiderstand).
hangige Widerstande (piezoresistiver Effekt) Die Widerstandsanderung ist von der Starke
erzeugt werden. Diese Sensoren zieht man zur und von der Richtung des Magnetfeldes (relativ
Kraft-, Druck- und Beschleunigungsmessung zum Strom) abhangig. Deshalb eignet sie sich
7.3 Werkstoffe und Technologien 313

fur Positions-, Winkel- und Strommessungen. verhaltnismaBig unempfmdlich sind und man
Silicium zeigt eine verhaltnismaBig geringe Be- das MeBsignal iiber eine Briickenschaltung aus-
weglichkeit der Ladungstrager; deshalb ist der werten kann.
Hall-Effekt nicht besonders ausgepragt. Ver-
wendung fmden in diesem Fall weichmagne- d) Foto-Sensoren
tische Materialien aus FeNi, die in maander-
formigen Streifen auf der Oberflache eines Durch Lichtenergie konnen Ladungstrager
Siliciumkristalls aufgebracht sind (Bild 7-15 a). vom Valenz- ins Leitungsband gehoben wer-
Die vier Sensorelemente sind diagonal geschal- den, so daB sich die Leitfahigkeit erhoht (Ab-
tet, so daB sie bei Temperaturschwankungen schn. 1.8.2, Bild 1-60). Die Lichtabhangigkeit
der Eigenschaften von Dioden und Transisto-
a) Sensorchip mit 4 Sensorelementen
ren sowie die Funktionsweise von Solarzellen
auf Silicium-Basis sind in der Optoelektronik
(Abschn. 6) ausfuhrlich beschrieben.
Lichtempfmdliche Sensoren auf Silicium-Basis
eignen sich vorziiglich zur Bildauswertung.
Durch Lichteinfall entstehen Ladungstrager,
die durch getaktete Spannungen weitertrans-
portiert werden (ladungsgekoppelte Schaltele-
mente, Charge-Coupled-Devices, CCD). Auf
diese Weise kann man CCD-Zeilensensoren mit
MOS-Strukturen erzeugen, die die Bildinhalte
durch die entsprechenden Ladungsansammlun-
gen auswerten. Die Informationen werden zum
Auswerten sequentiell weitergeschoben. Die li-
b) Anordnung der Sensorelemente in einer BriJckenschaltung
neare Sensorzeile kann von 256 Pixel bis zu
5184 Pixel umfassen (PixelgroBe: 7 jim x 7 jim
bzw. 13 |imx 13 |im), Flachensensoren bis zu
1,5 Millionen Pixel. Die Ausleseraten der gespei-
cherten Information betragen bis zu 40 MHz.
+ -
e) Chemische Sensoren
\r-] rT
Die meisten chemischen Sensoren basieren auf
dem Feldeffekt, ahnlich der Wirkungsweise
des Feldeffekttransistors (FET, Abschn. 3.3.1).
Durch die Isolationsschicht von Si02 wird der
c) Sensor chemische Teil vom elektrischen getrennt, so
daB der chemische ProzeB die elektronischen
Eigenschaften steuern kann (ChemFET). Bild
7-16 zeigt das Schema eines solchen chemischen
Sensors.
Wie Bild 7-16 zeigt, besteht das Tor (Gate) bei-
spielsweise aus einer diinnen Palladium-Mem-
bran auf Si02. Oberhalb des Tors befmdet sich
eine elektrolytische Losung, bei der H2 durch
einen katalytischen ProzeB mit Pd in 2 H auf-
gespalten wird, deren Dipolmomente das elek-
trische Feld zwischen den beiden n-leitenden
Gebieten beeinflussen. Damit hangt die Kenn-
Hnie des ChemFET von der H2-Konzentration
Bild 7-15. Magnetoresistiver Sensor. des Elektrolyten ab. Mit solchen Sensoren kon-
Werkfoto: Philips Components nen nicht nur Konzentrationen von Wasser-
314 7 Sensoren

durch Plasma (Plasma-Enhanced, PE-CVD)


I (=y, Elektrode oder durch Licht (Light-Enhanced, LE-CVD)
^ ^ (Gate) Elektrolyt
beeinfluBt werden. Die Vorteile von CVD-
Schichten liegen in der groBen Reinheit und der
Porenfreiheit. Nachteilig sind die hohen Pro-
Metall- zeBtemperaturen (iiber 250 °C), bei denen die
kontakt Verfahren ablaufen miissen, und die Tatsache,
(Source)
daB es noch keine Abscheideverfahren fur Me-
Isolator Isolator
talle gibt. Aus diesem Grund fmdet das CVD-
Verfahren nur fiir Isolations- und Passivie-
Membran rungsschichten Verwendung.
Bild 7-16. Prinzip eines ChemFET. Zu den physikaHschen Verfahren zahlt das
Hochvakuumverdampfen und das Kathodenzer-
stoff, sondern auch wasserstoffhaltige Gase stduben (Sputtern). Beim Hochvakuumver-
(z.B. NH3 Oder H2S) gemessen werden. Mit dampfen wird das abzuscheidende Material
einem leicht modifizierten FET kann man auch entweder elektrisch oder durch BeschuB mit
andere Gas- oder lonenkonzentrationen be- schnellen Elektronen (e-beam-Verfahren) er-
stimmen (Abschn. 7.3.5.2, chemische Feld- hitzt und schlagt sich am gegeniiberliegenden
effekt transist oren). Substrat nieder. Die Temperaturen sind zwar
nicht hoch, aber die Schichten sind meist porig
7.3.2 Diinnschichttechnik und haften schlecht. Beim Kathodenzerstauben
wird ein energiereiches Plasma eines Edelgases
Die Diinnschichttechnik erlaubt es, Strukturen (meist Argon) erzeugt, dessen schnelle lonen
mit bis zu 2 |im Breite und 0,01 |im Dicke zu das aufzubringende Material zerstauben. Die-
erzeugen. Damit wird es moglich, die Bauteile ses Verfahren ist universell einsetzbar, weil an-
weiter zu verkleinern und die Informations- nahernd alle Werkstoffe zerstaubt werden kon-
und Speicherdichten bei gleichzeitiger Steige- nen.
rung der Zuverlassigkeit zu erhohen.
7.3.2.2 Anwendungen
7.3.2.1 Verfahren
Die haufigsten Anwendungen liegen derzeit auf
Dunne Schichten aus Metall oder Isolations- dem Gebiet der Mefiwiderstdnde zur Tempera-
werkstoffen werden mit chemischen oder physi- turmessung (Platin, Nickel und NiCr) oder als
kalischen Verfahren auf Tragerwerkstoffe (Sub- DehnmeBstreifen (DMS). Wegen seines gerin-
strate) wie Silicium, Keramik, Glas oder gen Stromrauschens ist Gold fur Strahlungs-
Kunststoffolien aufgebracht (Bild 7-17). sensoren geeignet. Werden Kondensatoren mit
Verfahren der feuchteempfmdlichem Dielektrikum hergestellt,
DiJnnschichttechnik dann ergeben sich Feuchtesensoren, die in der
I
IL Verfahrenstechnik von groBem Interesse sind.
chemische Verfahren physikalische Verfahren
CVD: PVD: Thermoketten bestehen aus einem Verbund
Chemical Vapour Deposition Physical Vapour Deposition mehrerer Metalle (z. B. Cu und Ni oder Bi und
X Sb), die eine Spannung erzeugen, die von der
IL JI D_
War me Plasma Licht Hochvakuum-
Kathoden- Warmeeinstrahlung abhangig ist. Mit mehrlagi-
zerstauben
|(PE-CVD) |(LE-CVD) verdampfen
(Sputtern) gen Feinstleiterstrukturen kann man mehrere
Chips auf einem Substrat erzeugen (Multi-
Bild 7-17. Verfahren der Dunnfilmtechnik. Chip-Module, MCM), wie Bild 7-18 schema-
tisch zeigt.
Wie Bild 7-17 zeigt, gibt es die CVD-Verfahren
(Chemical-Vapour-Deposition) und die PVD- DiJnnschicht (Cu/Au)

Verfahren (Physical-Vapour-Deposition). In Polyimid

den CVD-Verfahren fmden chemische Reaktio- DiJnnschJcht (Cu/Au)

nen zwischen Gasen und der Substratoberfla-


Substrat
che statt, die zur Abscheidung diinner Filme
fuhren. Die Reaktionen konnen durch Warme,
B/I /S Mehrlagigc Diinnschichttechnik.
7.3 Werkstoffe und Technologien 315

7.3.3 Dickschichttechnik Je groBer die Stromungsgeschwindigkeit ei-


nes Mediums ist, um so groBer ist die gemes-
Bei der Dickschichttechnik bringt man im Sieb- sene Warmeabfuhr. Druckt man den Ther-
druckverfahren passive Bauelemente auf das mowiderstand in bestimmten Geometrien
Substrat auf, wobei die Schichtdicken im Be- auf, dann konnen auch die Richtungen der
reich von 10 jim bis 20 |am liegen. Die wirksame Stromung ermittelt werden. Bild 7-19 a zeigt
Substanz ist in der Paste mit Glaspulver und einen thermischen FluBsensor zur Messung
einem organischen Losungsmittel vermischt, so des Massedurchflusses einer Fliissigkeit oder
daB die entsprechenden physikaHschen Eigen- eines Gases. Im unteren Teil ist das Sensor-
schaften (z.B. Temperaturkoeffizient oder Vis- element zu sehen, das die Leiterbahnstruktur,
kositat) je nach Anforderung einstellbar sind. einen Heizwiderstand und einen Temperatur-
Als Fasten werden hauptsachlich verwendet: sensor enthalt. Bild 7-19 b zeigt einen vekto-
Leiterbahnpasten, Widerstandspasten (auf Oxid- riellen Stromungssensor in Dickschichttech-
basis), Dielektrikapasten (Bariumtitanat) und nik. Im Mittelpunkt der Anordnung befmdet
Abdeckpasten. sich eine punktformige Warmequelle (geheiz-
In den Dickschichtschaltungen verwendet man ter Dickschichtwiderstand), die auf die kreis-
haufig aktive Bauelemente (z. B. Verstarker oder formig angeordneten NTC-Widerstandssen-
A/D-Wandler), so daB Hybridschaltungen ent- soren wirkt. Je nach Stromungsrichtung wer-
stehen (Abschn. 1.9.6, Bild 1-98), bei denen der den entsprechende Segmente gekiihlt oder
Sensor und die Auswerteelektronik integriert aufgeheizt und ermoghchen auf diese Weise
sind. Die wesentlichen Vorteile dieser Sensoren eine Richtungsauswertung der Stromung.
bestehen in der hohen thermischen und elek-
trischen Belastbarkeit sowie in der Zuverlassig- Kapazitiver Positionssensor
keit und Betriebssicherheit auch in aggressiver In Dickschichttechnik wird eine Leiterbahn-
Industrieumgebung. matrix aufgebracht, deren x- und y-Richtung
Folgende Anwendungen sollen beispielhaft an- unterschiedHche Frequenzen (z. B. 1 kHz und
gefiihrt werden: 4 kHz) aufweisen. Wird dariiber eine flache
Elektrodenanordnung bewegt, dann koppeln
- Stromungsmesser die Kapazitaten bestimmte elektrische Si-
Der thermische DurchfluBsensor besteht aus gnale aus, die sich zur Positionsbestimmung
einem Heizwiderstand und aus einem Wider- nach Betrag und Richtung eignen.
stand zur Temperaturmessung, die beide auf
einem Substrat aus Al203-Keramik aufge- Fotowiderstdnde
bracht sind. Wird der Sensor von Gas oder Mit fotoleitender Paste aus CdS, CdSSe oder
Wasser umspiilt, nimmt die Warmeabfuhr zu. CdSe konnen Fotowiderstande aufgedruckt

a) Thermischer FluRsensor b) Vektorieller Stromungssensor

Bild 7-19. Stromungssensor en. Werkfotos: Battelle


316 7 Sensoren

werden, wie sie fur Lichtschranken Verwen- Tabelle 7-4. PhysikaHsche GroBen und ihre op-
dung finden. tischen Effekte.
PhysikaHsche GroBe Optischer Effekt
7.3.4 Faseroptische Sensoren
M
o Kraft Spannungsdoppel-
In Abschn. 6 (Optoelektronik) sind die physika-
brechung,
lischen Grundlagen bei der Umwandlung opti- Druck piezooptischer Effekt,
scher in elektrische Signale (und umgekehrt) Verbiegung Piezoabsorption,
ausfiihrlich erlautert sowie die einzelnen Bau- b Dichteanderung Tribolumineszenz
elemente beschrieben, die auch als Sensoren
Einsatz finden (in Abschn. 6.3: Lumineszenz- elektrisches Feld elektrooptischer Effekt,
X3 dielektrische elektrochromer Effekt,
dioden (LED) und Halbleiterlaser; in Abschn.
6.4 Anzeigearten (LED-, Vakuum-Fluoreszenz-, •c Polarisation
elektrischer Elektrolumineszenz
Plasma- und Fliissigkristall-Displays); in Ab- ID
<D Strom
schnitt 6.5 die Bauelemente: Photowiderstand,
Photodiode, Phototransistor, Photothyristor,
Solarzelle, Bildsensoren und in Abschn. 6.6 die ^ * Magnetfeld magnetooptischer Effekt
S^ Magnetische
Optokoppler. Dieser Abschnitt befaBt sich mit e" Polarisation
faseroptischen Sensoren (Lichtwellenleiter, LWL),
deren Grundlagen in Abschn. 6.7 nachzulesen Temperatur temperaturabhangige
sind. optische Parameter
(z.B. Wellenlange),
Die physikalischen Effekte, die fur faseroptische Thermolumineszenz
Sensorsysteme in Frage kommen, beziehen sich
auf die Reflexion, Absorption oder Reemission Lichtstarke Absorption, Lumineszenz,
von Licht oder auf die Anderung des Bre- :3 Kernstrahlung strahlungsinduzierte
chungsindex n (Tabelle 7-4). Rontgen- Lumineszenz
Je nach Art der Modulation des Lichts sind on strahlung
verschiedene Anwendungen denkbar.
chemische Anderung der Reflexion,
Substanzen der Absorption und des
7.3.4.1 Modulation der Lichtstarke Brechungsindex;
Hierbei handelt es sich um eine Anderung der Fluoreszenz
Lichtintensitat durch Anderung des Brechungs-
index, der Absorption oder der Emission. Bild zu messen sowie Schwingungsanalysen durch-
7-20 zeigt einige Sensor-Prinzipien. Die Mes- zufuhren.
sung erfolgt analog.
Mit Hilfe der Faser-Faser-Kopplung (Bild 7-20 a) 7.3.4.2 Modulation der Wellenlange
kann man digitale Signale libertragen. Nach Haufig wird die wellenlangenabhangige Ab-
diesem Verfahren arbeitet beispielsweise das di- sorption zur Messung herangezogen oder die
gitale optische Flugleitsystem. Weitere Anwen- Temperaturabhangigkeit des Bandiibergangs
dungen sind die Ubermittlung von Schaltzustdn- eines Halbleiters. Diese faseroptischen Strah-
den in elektrischen Schaltungen. Mit einem lungspyrometer gestatten die Temperaturmes-
Lichtunterbrecher kann man Schwingungszu- sung im Bereich von 600°C bis 1100°C an
stande in rotierenden Maschinen analysieren schwer zuganglichen Stellen (z. B. zur Tempera-
oder digitale Informationen libertragen. Der Y- turmessung einer Turbinenschaufel im Flug-
Reflexionssensor erzeugt analoge Signale, mit zeugtriebwerk). Mit Faserbiindeln kann man
denen beispielsweise Schwingungen gemessen die Temperaturverteilung erfassen.
und Oberflacheneigenschaften untersucht wer-
den konnen. Vor allem durch die Auswertung 7.3.4.3 Modulation der Polarisation
des Microbending-Effekts (kleinste Auslenkun- Die Polarisationsrichtung des Lichtes wird bei-
gen haben einen meBbaren optischen Effekt zur spielsweise im Magnetfeld gedreht {Faraday-
Folge, z.B. eine andere Strahlenfuhrung) ist es Effekt). Man nutzt diesen Effekt, um den Strom
moglich, Dehnungen, Driicke und Durchfliisse in Hochspannungskabeln zu messen.
7.3 Werkstoffe und Technologien 317

a) Faser-Faser-Kopplung b) Lichtunterbrechung

13- FH--/ I^l^l

c) Y-Reflexionsschranke d) Microbending

- ^

Bild 7-20. Sensorprinzipien zur Modulation der Lichtintensitdt.

7.3.5 Chemische Sensoren reversible Wasserstoff-Elektrode, wobei Span-


nungen bis zu 1000 mV und Strome im Mikro-
Chemische Sensoren sind in der Lage, che- bis Nanoamperebereich gemessen werden miis-
mische Stoffe und ihre Konzentrationen konti- sen.
nuierhch und ohne groBen Zeitaufwand zu be-
stimmen. Deshalb spielen sie zur Regelung und b) Sauerstoff-Bestimmung mit der 1-Sonde
Steuerung verfahrenstechnischer chemischer An-
lagen eine wichtige Rolle. Bild 7-21 a zeigt die MeBzelle, bestehend aus
einem Festelektrolyten aus Zr02, der fahig ist,
7.3.5.1 Elektrochemische Sensoren Sauerstoffionen zu leiten. Auf beiden Seiten des
Elektrochemische Sensoren wandeln chemische Festelektrolyten sind porose Edelmetall-Elek-
GroBen in elektrische Signale um, die elek- troden (meist aus Platin) aufgebracht, durch die
tronisch weiterverarbeitet werden. Im folgen- das Sauerstoff gas diffundieren kann. Die eine
den werden Gassensoren zur Bestimmung von Elektrode befmdet sich dabei im Abgaskanal
Kohlenmonoxid und Sauerstoff hQschriQbQn, wie (zwischen 300°C und 800 °C) und die andere in
man sie vor allem zur Bestimmung in Abgasen Luft. Der Potentialunterschied zwischen den
von Kraftfahrzeugen verwendet. In einer elek- beiden Elektroden ist ein MaB fur den Sauer-
trochemischen Zelle finden Redoxreaktionen stoff gehalt des Abgases. Bei einer stochiometri-
statt, etwa gemaB folgender Gleichung: schen Gemischbildung (i = 1) ist der Schad-
stoffausstoB am geringsten. Wird der Bereich
Gas,. -Gas,, + e" 1<1 (fettes Gemisch) bis 2 > 1 (mageres Ge-
misch) durchlaufen, dann andert sich die Kon-
Diese Reaktionen setzen Elektronen frei (oder
zentration des Sauerstoffs bei >1 = 1 sprungartig
verbrauchen Elektronen). Man miBt entweder
um mehrere Zehnerpotenzen (Bild 7-21 b). Des-
das Potential zwischen den Elektroden oder
wegen kann dieser Punkt meBtechnisch sehr gut
den StromfluB.
erfaBt und das Kraftstoffgemisch optimal ge-
a) Bestimmung von Kohlenmonoxid (CO) regelt werden. In Bild 7-21 c ist ein potentio-
metrischer Dickschichtsensor als A-Sonde abge-
In diesem Fall liegt folgende Reaktion zu- bildet.
grunde:
CO + H2O ^ CO2 + 2H+ + 2 e - . 7.3.5.2 Chemische Feldeffekttransistoren
Der Reaktionspartner CO verbraucht Wasser In Bild 7-16 ist der prinzipielle Aufbau eines
und erzeugt Kohlendioxid (CO2). Die Messung chemischen Feldeffekttransistors (ChemFET)
erfolgt mittels Platin-Elektroden gegen eine gezeigt. Man miBt meistens die Konzentration
318 7 Sensoren

a) Aufbau b) Kennlinien

s E
Luft
_o
^ 300 °C
0- .105.. i 700 °C 0

-=y"
ML-ZrO.
Festelektrolyt -5- -800

Pt-Elektroden 10- -600


15- -400
keramische 1
Schutzschicht 20- -200 J\
.—^V_
1 1 i^-h—^^h ^
0,8 0,9 1,0 1,1 1,2 1,3
X-Wert

Bild7'21. X-Sonde. Werkfoto: Battelle

von lonen, deshalb nennt man diese Senso- Tabelle 7-5. Gatewerkstoffe des ISFET zum
ren auch ionensensitive Feldeffekttransistoren Nachweis von lonen.
(ISFET). Die Gate-Werkstoffe werden je nach
zu messenden lonenarten ausgewahlt (Tabelle Gateschicht nachweisbare Substanzen
7-5). Man verwendet auch Feldeffekttran-
dielektrisch:
sistoren mit einer Gateschicht aus Enzymen AI2O3, Si3N4, Ta205 H3O + ,
(ENFET) oder^us anderem biologischem Ma- A1-, B-, Na-Al-Sihkat Ca'^, K-^, Na+
terial (BioFET) zur Bestimmung der Konzen-
tration medizinisch wichtiger Substanzen (z. B. kristallin:
Cholesterose oder Harnstoff). AgBr, AgCl, Ag^S, Ag^, La'^,
Die Gate-Werkstoffe werden nach dem Verfah- LaF3 Br-,Cr, F , S'-
ren der MOS-Technologie aufgebracht, so daB
die Entwicklung der ISFET keiner besonderen heterogen:
Enzyme, Bakterien, Ag+, Ca^+, H3O+, K + ,
Verfahrensentwicklung bedurfte. Bild 7-22 zeigt usw. in PVC-Matrix Na^,Cr, F-,S2-,
die Schaltung eines ISFET zur Messung des PenicilHn, Glukose
pH-Wertes.

Koppler/Entkoppler
Lichtwellenleiter

Lichtquelle MefSstelle
der Substanz
Filter

Linse
^
Detektor

Bild 7-23. Aufbau einer Optode.

Bild 7-22. pH- Wert-Messung mit einem ISFET. die Absorption, Reflexion und Reemission von
Licht oder die Fluoreszenz. Wie Bild 7-23 zeigt,
besteht die Optode aus einer Lichtquelle (Laser,
7.3.5.3 Optochemische Sensoren (Optoden)
Leuchtdiode oder Halogenlampe), deren Licht
Optochemische Sensoren oder Optoden niitzen iiber einen Lichtwellenleiter zur MeBstelle der
zur Bestimmung von chemischen Substanzen Substanz gefuhrt wird. Dort wird das Licht ent-
optische Effekte aus. Dies sind im wesenthchen sprechend verandert und iiber den Lichtwellen-
7.4 Bevorzugte Einsatzgebiete 319

leiter zuruckgefuhrt, filtriert und fokussiert. An- die Sensoren zur Ermittlung, Uberwachung
schlieBend wird das optische Signal in ein elek- und zur Steuerung wichtiger ProzeBgroBen eine
trisches umgewandelt und elektronisch weiter- bedeutende RoUe. Deshalb werden die Anwen-
verarbeitet. Die wesentlichen Vorteile bestehen dungen vor allem in der Fertigungstechnik lie-
darin, da6 der Sensor direkt zum MeBobjekt gen und dort hauptsachlich im Bereich der Au-
gefiihrt werden kann, und daB eine voll- tomatisierungs- und Robotertechnik. Die dafiir
standige elektrische Trennung zwischen dem notwendigen Sensorsysteme mussen auBer dem
optischen Sensor und dem MeBinstrument Sensorelement die Elektronik zum Auswerten
stattfindet. Deshalb ist diese Messung unemp- und Steuern der Parameter beeinhalten {intelli-
findlich gegenuber elektromagnetischen Stor- gente Sensorsysteme).
feldern. Die einzelnen MeBgroBen werden dabei online
AuBer den Anwendungen in Medizin, Biologic (direkt im FertigungsprozeB) oder offline (au-
und Chemie finden die Optoden vor allem zur Berhalb des Fertigungsprozesses) erfaBt. Bei
Messung der Schadstoffkonzentration in der Online-Messungen konnen unerwunschte Pro-
Umwelttechnik oder zur Kontrolle von Verfah- zeBgroBen (z. B. zu langsame Schnittgeschwin-
rensprozessen Verwendung. Eine weitere An- digkeiten) oder Abweichungen der Lage von
wendung liegt im Bereich des Korrosionsschut- Werkstucken direkt korrigiert werden. Voraus-
zes. Aber auch andere Einsatzgebiete sind setzung ist, daB die Schnittstellen zu den Steuer-
denkbar, beispielsweise als Ausloseschalter fur programmen anderer Fertigungsanlagen sowie
die Bereitstellung von Sauerstoffmasken beim zu den sonstigen rechnergesteuerten Prozessen
Druckabfall im Flugzeug. (z. B. Materialwirtschaft) standardisiert sind
(Abschn. 16).
Ebenso bedeutend ist der Einsatz der Sensoren
7.4 Bevorzugte Einsatzgebiete beim Verbraucher. Als wichtige Anwendungs-
bereiche sind beispielsweise zu nennen: Moto-
Die Fabrik der Zukunft wird eine rechner- ren und Heizungen, ferner Dosierung von
gesteuerte Produktion (Computer-Integrated- Hilfsstoffen, beispielsweise von Waschpulver
Manufacturing, CIM) aufweisen mit der Beson- bei Waschmaschinen und Entharter bei Ge-
derheit, daB die Material- und Informations- schirrspiilern. Dadurch kann man einen Beitrag
fliisse vom Einkauf bis zum Vertrieb iiber Rech- zur Verringerung der Umweltschaden oder zur
ner miteinander vernetzt sind. Hierbei spielen Einsparung von Rohstoffen leisten.
320 8 Analoge integrierte Schaltungen

8 Analoge integrierte arbeitet werden, beispielsweise vor und in einem


Digital-Analog-Wandler, dann darf der Ana-
Schaltungen logteil keine zusatzlichen Fehler verursachen.
Modeme Prazisionsverstarker arbeiten auch bei
16 Bit Auflosung (entsprechend ?^ 15 • 10~^)im
Digitalteil ausreichend genau. Viele Aufgaben
lassen sich durch analoge oder digitale Signal-
verarbeitung mit vergleichbarem Ergebnis 15-
8.1 Herstellung und Technologie sen.
Integrierte digitale Schaltungen sind im Ab-
Integrierte Schaltungen bestehen aus einer Viel-
schn. 12 beschrieben. Analoge integrierte Schal-
zahl von passiven und aktiven Bauelementen
tungen kann man mit den heute weit entwickel-
(z. B. Widerstande, Dioden, Kondensatoren
ten Technologien fiir fast jeden Anwendungs-
Oder Transistoren), die durch eine entspre-
zweck entwickeln, sofern die benotigte Stiick-
chende Schaltung miteinander verbunden sind.
Aus diesen Bauelementen baut man groBere zahl die Entwicklungskosten rechtfertigt. Ana-
und kompliziertere monolithische Schaltungen loge integrierte Schaltungen gibt es in bipolarer
auf sehr kleinem Raum auf (z. B. in einem Chip und in MOS-Technologie. Dabei stellt man die
der Kantenlange 1 mm bis 2 mm). Auf einer einzelnen Bauteile gleichzeitig nebeneinander
Silicium-Scheibe lassen sich gleichzeitig sehr auf der Oberflache eines Chips auf einem
viele identische integrierte Schaltungen unter- groBen Wafer her, meistens in Planartechnik. Im
bringen. Durch die Massenproduktion der inte- folgenden sind die einzelnen Schritte gezeigt,
grierten Schaltungen entfallt auf jede nur ein mit denen beispielsweise ein bipolarer Transi-
kleiner Teil der hohen Entwicklungs- und Ferti- stor in Silicium-Planartechnik innerhalb einer
gungskosten, so daB die integrierte Schaltung integrierten Schaltung hergestellt wird (Bild
nicht nur wesenthch kleiner, sondern auch bilh- 8-1).
ger und - wegen der geringen Anzahl an Lot- Ausgangsmaterial ist ein hochreiner Sihcium-
verbindungen - auch zuverlassiger ist. Voraus- Einkristall mit 10 cm Durchmesser und 50 cm
setzung fur eine hohe Zuverlassigkeit ist ein Lange, der mit Diamantwerkzeugen in etwa
geeignetes Gehause, das schadliche Fremd- 0,25 mm diinne Scheiben (Wafer) zersagt wird.
stoffe, vor allem Wasserdampf, von den feinen Die Dicke ist durch die mechanische Bearbei-
und empfindlichen Halbleiterstrukturen fern- tung festgelegt, die elektrisch aktive Schicht be-
halt. Weiterhin diirfen die Grenzwerte des er- tragt ungefahr 10 ^im. Die Oberflache wird ge-
laubten Arbeitsbereichs wie Spannungen, lappt, so daB sie glatt wird und die Unebenhei-
Strome, Verlustleistung und Temperatur nicht ten erheblich kleiner als 1 jam sind. Auf diesem
iiberschritten werden. Wafer (Bild 8-1 a) bringt man mit der Planar-
Technologie eine Vielzahl gleicher Bauelemente
Die grofie Integrationsdichte, die gunstigen Lei- auf. Dazu sind folgende Fertigungsschritte er-
stungsdaten, die Zuverlassigkeit und der geringe forderlich:
PreisJQ aktives Element der heute verwendeten
komplexen analogen und digitalen Schaltungen 1) Oxidation
haben die Verbreitung der Elektronik in alle Bei hoher Temperatur setzt man den Silicium-
Lebensbereiche moghch gemacht. Die auch bei Wafer einer Atmosphare aus Wasserdampf oder
analogen Halbleitern erhebUch verbesserte Sauerstoff aus. Der Sauerstoff diffundiert in das
Herstellungstechnologie erlaubt heute den pro- Sihcium und bildet eine diinne Schicht (0,2 jim
blemlosen Aufbau leistungsfahiger Analog- bis 2 i^m) von Siliciumoxid (Si02, Bild 8-1 b).
schaltungen. Diese sind vor allem dann kleiner Dieser Belag hat zwei wichtige Funktionen:
und preisgiinstiger als Digitalschaltungen, Zum einen dient der Si02-Belag wahrend der
wenn die Schnittstellen analoge Signale verlan- Herstellung als Maske zur gezielten Dotie-
gen, die Genauigkeit nicht allzu groB sein muB rung mit Fremdstoffen. Dazu wird das Silicium-
Oder die Signalverarbeitung sehr schnell sein oxid an den erforderhchen Stellen spater mit
muB, wie beispielsweise fur eine schnelle Rege- FluBsaure weggeatzt. Zum anderen bildet die
lung. letzte im FertigungsprozeB aufgebrachte Si02-
Soil ein analog erfaBtes Signal digital weiterver- Schicht eine elektrisch isolierende, nicht korro-
8.1 Herstellung und Technologic 321

dierende und vor auBeren Fremdeinwirkungen


p-Substrat 200 \im sichere Schutzschicht.
bis 300 ^im
2) Maskieren mit Fotolack
Um die entsprechenden Stellen gezielt dotieren
l \ \ \ \ \ N N \ \ \ \ \ \ \ \ \ \ \ N \ \ \ \ \ \ \ \ \ \ \ ^ S i 0 2 - S c h i c h t 0,5 ^im zu konnen, verwendet man Masken, mit deren
/ L p-Substrat Hilfe die Fremdstoffe an den erwiinschten Stel-
len in das Silicium eindiffundieren konnen,
b) wahrend sie die ubrige Flache aber wirksam
abdecken. Zu diesem Zweck bringt man auf die
Si02-Schicht Siliciumdioxid-Oberflache einen lichtempfind-
lichen Lack (Fotolack) auf (Bild 8-1 c).
-p-Substrat Der Fotolack polymerisiert unter der Einwir-
kung ultravioletten Lichtes (Bild 8-1 d). Die ab-
gedeckten und nicht polymerisierten Lackstel-
UV-Licht len lassen sich mit einem Entwickler abwaschen
(Bild 8-1 e). Das freiliegende Siliciumdioxid laBt
sich mit FluBsaure (HF^q) abatzen, wahrend
der Fotopolymer das darunterliegende Si02
^ ^ ^ ^ ^ ^ ^ ^ ^ ° * ° Si02-Schicht
' - ^ schiitzt.
Im nachfolgenden Arbeitsgang entfernt man
p-Substrat den restlichen Fotolack, so daB ein Silicium-
dioxid-Fenster (Maske) entstanden ist, durch
d)
das dotiert werden kann (Bild 8-1 f).
\ ( ^ \ ( ^ ^ v V v r Fotolack
Die Fotomaske selbst zeichnet man mit einem
^^ Si02-Schicht CAD-System groB auf, vervielfaltigt sie mit dem
CAD-System oder fotografisch und verkleinert
- p-Substrat sie auf einen maBhaltigen Trager, beispielsweise
Glas. Fiir jeden ProzeBschritt ist eine eigene
Maske erforderlich. Bei diesem Verfahren sind
^VV^VV^VVVM ^^^^^^^^^:l:l Strukturen, die kleiner als die Lichtwellenlange
^SiOa-Schicht
teilweise weggeatzt
sind, nicht zu verwirkUchen.
3) Dotierung
- p-Substrat
Bei einer Temperatur von etwa 1200 °C setzt
man den Wafer im Diffusionsofen einem Strom
^SWWSNWS^ Si02-Schicht von Dotierungsatomen (z. B. Arsen, Bor oder
hochdotierte
n+-Schicht
Phosphor) aus, so daB das gewunschte Dotie-
eindiffundiert rungsprofil (richtige Konzentration der Dotier-
atome in der gewiinschten Eindringtiefe) ent-
steht (Bild 8-1 g). Die Dotierungsatome dringen
nur in das von der Maske freigegebene Silicium
"^YXXXXK SiOg-Schicht weggeatzt ein.
n+-Schicht
p-Substrat Statt durch Diffusion laBt sich die erforderliche
Dotierung auch mit dem Verfahren der lonen-
implantation wesentHch genauer einstellen. Da-
-aufgewachsene
n-Epitaxieschicht
bei werden lonen des Dotierungselements in
10|xmbis20nm einem elektrischen Feld (50 kV bis 200 kV) be-
- n^Zwischenschicht schleunigt und dringen beim Auftreffen in die
- p-Substrat SiHciumoberflache ein. Die Eindringtiefe und
i) die Konzentration lassen sich iiber die Be-
Bild8-L Fertigungsschritte zur Herstellung eines bi- schleunigungsspannung und den lonenstrom
polaren Transistors in Silicium-Planartechnik. genau steuern. Die lonenimplantation ist bei
322 8 Analoge integrierte Schaltungen

SiOg-Schicht Raumtemperatur moglich. Deshalb sind auch


teilweise weggeatzt
n-Epitaxieschicht
keine hochtemperaturbestandigen Masken aus
n^-Zwischenlage Si02 notig, sondern man kann mit Metallmas-
p-Substrat ken arbeiten.
4) Epitaxie
Die zur Herstellung von Transistoren erfor-
l.-..N,NAN.N\N,\.N,N.N\NN.N,N,NY ( ^ ^ SiOg-Schicht derlichen Eigenschaften des Substrats, nieder-
p^ dotierter Ring urn ohmig und deshalb hoch dotiert zu sein, wider-
•^Z2SS2^: das neue Element
sprechen haufig den Anforderungen an den
n-Epitaxieschicht
n^-Zwischenlage schwach oder mit anderer Polaritat dotierten
p-Substrat aktiven Bereich. Uber den Wafer leitet man in
einer Wasserstoffatmosphare Silan (SiHJ, das
sich bei ungefahr 1000 °C zu Silicium und Was-
/V\\\^v\vy\\\\\\Ni ^\\\\VV\\ -SiOg-Schicht
- p^-dotierter Ring
serstoff zersetzt. Dabei wachst eine 10 jam bis
urn das neue Element 20 |im dicke einkristalline Siliciumschicht auf
- n-Epitaxieschicht den Wafer auf (Epitaxie), die sich durch Zu-
- n^-Zwischenlage
gabe anderer Elemente wahrend des Aufwach-
-p-Substrat
m)
sens dotieren laBt (Bild 8-1 i). In dieser Epitaxie-
schicht entstehen spater die meisten elek-
trischen Bauteile.

' 'w V v v V V v:^''^ Epitaxieschicht


^'^-^r- n-Epitaxies
5) Herstellung weiterer Zonen
'^xxxyxx n^-Zwischenlage Fur integrierte Schaltungen sind stets viele Bau-
p-Substrat
teile notig, die voneinander elektrisch isoliert
sein miissen. Im dargestellten Fall ist der kiinf-
tige Transistor in der n-Epitaxie-Schicht auf
dem p-Substrat von einem p"^-dotierten Ring
n+-Kollektor
umgeben (Bild 8-1 k und 1). Man verbindet das
n^-Emitter Substrat mit der negativen Versorgungsspan-
p-Basiszone nung; die n-Epitaxie-Schicht ist positiver und
n-Kollektoranschlu(3
n^-Zwischenlage
isoliert den Transistor iiber einen in Sperrich-
p-Substrat tung vorgespannten pn-Ubergang.
In den weiteren ProzeBschritten stellt man die
Basiszone (Bild 8-1 m und n) und den Emitter
sowie den KollektoranschluB (Bild 8-1 o) her.
Al-Metallisierung Jeder Schritt enthalt das Aufbringen einer
SiOaSchutzschicht
p+ dotierter Ring Si02-Schicht und des Photolacks, das Belich-
um den Transistor ten, Atzen, Waschen und Diffundieren.
p-Substrat Jetzt ist auch der Zweck der im ProzeBschritt g)
hergestellten Zwischenschicht erkennbar. Sie
bildet fur den in der n-Epitaxiezone liegenden
Kollektor Emitter Basis
Al-Metallisierung
Kollektor eine groBflachige und niederohmige
Si02-Schutzschicht Verbindung zum kleinen KollektoranschluB
•n+-Emitter (engl.: buried layer).
•p-Basiszone
6) MetalHsierung
n-Kollektor
n+-Zwischenlage
Den fast fertigen Wafer bedampft man mit Alu-
p-Substrat
minium, schiitzt die Kontaktanschliisse (Bond-
inseln) und Leiterbahnen nach dem Maskier-
Bild8-1. Fertigungsschritte zur Herstellung eines bi- verfahren und atzt das iiberschussige Alumi-
polaren Transistors in Silicium-Planartechnik (Fort- nium ab.
setzung). Zur Herstellung eines bipolaren npn-Transi-
8.1 Herstellung und Technologic 323

stors innerhalb einer integrierten Schaltung Widerstande lassen sich auf verschiedene Arten
sind nach Bild 8-1 folgende Fertigungsschritte herstellen. Haufig wird eine leitfahige Basiszone
erforderlich: diffundiert, deren spezifischer Widerstand und
a) Ausgangsmaterial ist p-dotiertes Silicium. deren Lange und Breite den endgiiltigen Wider-
b) Oxidation an der Oberflache zu Silicium- standswert bestimmt. Die erreichbaren Werte
dioxid. liegen zwischen 25 Q und 25 kQ; sie sind grob
c) Aufbringen des Fotolacks. toleriert (±20%) und haben einen hohen Tem-
d) Belichten iiber eine Fotomaske. peraturkoeffizienten, ungefahr 2000 ppm/K.
e) Entfernen des nicht belichteten Fotolacks. Der Gleichlauf der Widerstande auf einem Chip
f) Wegatzen des Siliciumdioxids an den nicht ist erheblich besser (±2%). Deshalb werden die
mit Fotolack beschichteten Stellen. Da- Schaltungen haufig so ausgelegt, daB nicht die
durch entsteht eine Maske. Absolutwerte mehrerer Widerstande, sondern
g) Eindiffundieren einer hochdotierten n^- nur ihr Verhaltnis maBgebend ist (Bild 8-2).
Zone. Al-Kontakt
h) Entfernen der Si02-Abdeckmaske. Si02 Schicht
p-Widerstand
i) Aufwachsen einer n-Epitaxie-Schicht. p^ dotierter Ring
k) Erzeugen, Maskieren, Atzen und Reinigen n - Epitaxieschicht
zur Isolierung
einer Si02-Schicht. p-Substrat
1) Eindiffundieren eines p^-dotierten Ringes Bild 8-2. Integrierter Widerstand, aus einer leitfdhi-
um das kiinftige Bauteil. gen Basiszone hergestellt.
m) Erzeugen, Maskieren, Atzen und Reinigen
einer Si02-Maske fiir den Basisbereich. Werden MOS-Bauelemente integriert, dann
n) Diffundieren der Basiszone. kann man den Kanalbereich eines selbstleiten-
o) Erzeugen, Maskieren, Atzen und Reinigen den MOS-Transistors als Widerstand benutzen.
einer Si02-Maske fiir die Emitterzone und Der Wertebereich entspricht dem der leitenden
den KollektoranschluB. Diffundieren dieser Basiszonen; die Absoluttoleranzen und die
Bereiche. So sind der Emitter (E), die Basis Temperaturkoeffizienten sind aber um den Fak-
(B) und der Kollektor (C) des Transistors tor 10 besser.
entstanden. Die Si02-Schicht entfernt man Durch Aufdampfen einer Ni-Cr-Schicht uber
nicht, sondern belaBt sie als Korrosions- der Siliciumdioxidschicht lassen sich Wider-
schutz. stande mit geringen Temperaturkoeffizienten
p) MetalHsieren der Oberflache. herstellen, die durch einen Laserabgleich auch
q) Wegatzen der nicht benotigten MetalHsie- sehr geringe absolute Abweichungen haben.
rung (uber eine Maske). Das Verfahren ist teuer und wird nur ange-
Eine Vielzahl der wiederkehrenden Schritte: wandt, wenn genaue Widerstande erforderhch
Oxidieren, Beschichten mit Fotolack, Belichten, sind.
Wegatzen zur Maskenbildung und Diffusion Kondensatoren stellt man wegen der begrenz-
sind notwendig, bis die entsprechenden Bauele- ten Flache nur mit kleineren Werten her (selten
mente und ihre Schaltung funktionsfahig sind. C > 50 pF). GroBere Kapazitatswerte sind zu
Transistoren sind die wichtigsten Elemente ei- vermeiden, weil sie zu viel Chipflache verbrau-
ner integrierten Schaltung. Zur Reahsierung ei- chen und eine groBe parasitare Kapazitat ha-
ner praktisch nutzbaren Schaltung sind auch ben. Der einfachste Kondensator ist eine in
Dioden, Widerstande und Kondensatoren er- Sperrichtung vorgespannte Diode, deren Kapa-
forderlich. zitat in hohem MaBe von der anhegenden
Grundsatzlich eignet sich jeder pn-Ubergang Spannung abhangt und die deshalb kaum ver-
als Diode. Da die Basis-Emitterdiode nur 4 V wendet wird.
bis 5 V Sperrspannung aushalt, ist ihr Einsatz Gunstige Eigenschaften bietet ein Kondensator,
begrenzt. Dioden stellt man haufig aus einem der auf einer dicken Si02-Schicht des Substrats
Transistor her, dessen Basis und Kollektor ver- aufgebaut ist (Bild 8-3). Sein unterer Belag be-
bunden sind. Durch die Stromverstarkung ent- steht aus polykristallinem Silicium, das metal-
steht dabei eine Diode mit steiler DurchlaB- Hsche Eigenschaften hat, Siliciumnitrid (Si3N4)
kennhnie. als Dielektrikum und der iibUchen Metallisie-
324 8 Analoge integrierte Schaltungen

Metall Nitrid die Elektronenstrahllithografie. Die Breite der


Leiterbahnen wird durch die Wellenlange des
Lichts (300 nm bis 750 nm) begrenzt. Bei der
Anwendung von Elektronenstrahlen, mit denen
die Masken direkt herausgeschnitten werden,
lassen sich nicht nur Fertigungsgange (das Auf-
Bild 8-3. Integrierter Kondensator. tragen von Fotolack, BeHchten, Atzen) einspa-
Werkbild: Texas Instruments. ren, sondern es sind auch Leiterbahnbreiten bis
10 nm moglich.
rung als obere Elektrode. Die Permittivitats-
zahl 8r des Siliciumnitrids ist dreimal grofier als
die des Siliciumdioxids, weshalb die Flache und 8.2 Operationsverstarker
damit die parasitare Kapazitat entsprechend Operationsverstarker sind die wichtigste Grup-
kleiner wird. Durch die Unterlage aus Si02 ent- pe der analogen integrierten Schaltungen. Sie
fallt der vor allem bei hohen Temperaturen sto- fanden urspriinglich fiir Rechenoperationen in
rende Reststrom eines pn-Oberganges. Analogrechnern und in der Regelungstechnik
Induktivitaten sind in integrierter Technik nicht Verwendung. Dieser Einsatz erfordert eine sehr
herzustellen. Sie lassen sich entweder umgehen, hohe Verstarkung (i; > 10^) von Gleichstrom-
d.h. durch Verstarker, Widerstande und Kon- signalen bis zu Frequenzen von einigen hundert
densatoren ersetzen oder extern zuschalten. Hz, einen nicht invertierenden Verstarkerein-
In automatischen Priifgeraten priift man die gang, dessen Signale mit der Verstarkung v ver-
einzelnen Schaltungen im ganzen Wafer auf ihre starkt werden und einen invertierenden Verstar-
Funktion und ermittelt die wichtigsten Parame- kereingang mit der Verstarkung —v. Werden
ter. Dann zersagt man den Wafer und baut die beide angesteuert, dann wird die Spannungsdif-
fehlerfreien Chips in Gehause ein. AnschlieBend ferenz zwischen beiden Eingangen mit der Ver-
erfolgt ein weiterer Funktionstest. Bild 8-4 zeigt starkung V verstarkt. Der erforderhche Ein-
den Chip eines schnellen Operationsverstarkers gangsstrom ist vernachlassigbar klein.
fiir eine groBere Leistung (Teilbild a) und den Diese Verstarker lassen sich mit einfachen Netz-
einbaufertigen Operationsverstarker (Teilbild b). werken aus Widerstanden und Kondensatoren
Neuere Entwicklungen der Planar-Technologie beschalten und verkniipfen die Eingangsspan-
sind die bereits erwahnte lonenimplantation und nungen und -strome nach den vorgegebenen

a) Chip-Aufnahme eines schnellen Operationsverstarkers b) einbaufertiger Operationsverstarker

Bild 8-4. Operationsverstarker. Werkfoto: Burr-Brown.


8.2 Operationsverstarker 325

Tabelle 8-1. Vergleich eines idealen und eines realen Operationsverstarkers.


Eigenschaft des Operations- Symbol Einheit Idealer Realer OPV
verstarkers (OPV) OPV

Eingangsfehlspannung Uto mV 0 10 ^V bis 10 mV


TemperatureinfluB auf U^Q '^UIO ^iV/K 0 0,2 |aV/K bis 10 |iV/K
Rauschen (Noise) u„ nV/VHz 0 2,5 nV/v^H^ bis 100 nV/^Hz
Eingangsstrom h nA 0 0,1 pA bis 1 |iA
Eingangswiderstand R, MQ 00 100 kQ bis 10^^ a (MOSFET)
Gleichtaktunterdruckung CMMR dB 00 70 dB bis 120 dB
EinfluB der Speisespannung PSRR ^iV/V 0 0,1 liV/V bis 0,1 mV/V
Verstarkung bei Gleichstrom Vvo V/mV 00 lOV/mV bis lO^V/mV
Frequenzabhangigkeit der /. 00 1 Hz bis 10 kHz
Verstarkung (Grenzfrequenz) Abfall V^o mit 20 dB/Dekade
Anstiegsgeschwindigkeit der
Ausgangsspannung S V/^is 00 0,5 V/^is bis 2000 V/|is
Ausgangswiderstand Ro Q 0 10 Q bis 1 kQ

mathematischen Zusammenhangen zu dem be- betrachtet (rot gekennzeichnete Zeilen in Tabel-


notigten Ausgangssignal Auf einem Halbleiter- le 8-1). Bild 8-5 zeigt das Ersatzschaltbild eines
kristall aufgebaute Operationsverstarker sen- Operationsverstarkers, der aus einem idealen
ken den Platzbedarf und die Kosten so weit, Verstarker und extern zugeschalteten Storquel-
daB Operationsverstarker trotz besserer Lei- len besteht. Die Tabelle 8-2 erlautert deren Wir-
stung preisgunstiger sind als diskret aufgebaute kung und gibt Richtwerte eines Standardverstar-
Schaltungen mit ein oder zwei Transistoren. Sie kers an. Das RC-Netzwerk am Ausgang stellt
finden deshalb heute auch fur viele andere einen TiefpaB dar, der die Anstiegszeit begrenzt.
Zwecke Verwendung. Bild 8-6 a zeigt das normgerechte Schaltzeichen
eines Operationsverstarkers. Das altere Schalt-
8.2.1 Idealer und realer Operations- zeichen (Bild 8-6 b), das wegen seiner Dreieck-
verstarker form nicht mit anderen Zeichen verwechselt
wird, erlaubt eine klarere Darstellung von
Moderne Operationsverstarker bestehen aus Schaltungen und fmdet deshalb in diesem Buch
vielen Transistoren und Widerstanden. Trotz Verwendung. Die Anschlusse fur die Speise-
guter Schaltungstechnik und fortgeschrittener spannungen + U^ und — U^ (Bild 8-6 c) werden
Herstellungstechnologie verursachen Bauteil- wegen der besseren Ubersicht meistens wegge-
eigenschaften und deren Toleranzen Abwei- lassen.
chungen von den angestrebten Eigenschaften
des idealen Operationsverstarkers. Sind die Ab- 8.2.2 Schaltungstechnischer Aufbau
weichungen im genutzten Arbeitsbereich aus-
reichend klein, dann kann man die Schaltung Der folgende Abschnitt zeigt den Aufbau eines
mit einem idealen Verstarker berechnen. Operationsverstarkers. Die Eigenschaften des
Tabelle 8-1 vergleicht die wichtigsten Kennda- Verstarkers und ihre jeweilige schaltungstech-
ten eines idealen und eines realen Operations- nische Ursache sind hierin beschrieben. Ein
verstarkers und gibt den Wertebereich der Vergleich mit den erklarten Begriffen des Ope-
Kenndaten bei realen Operationsverstarkern rationsverstarkers (Tabelle 8-2) sei empfohlen.
an. Preisgiinstige Operationsverstarker besit- Der einfachste Operationsverstarker besteht
zen sowohl gute als auch schlechte Werte. Fiir aus drei gleichspannungsgekoppelten Verstdr-
viele Anwendungen ist dies ausreichend. In ei- kerstufen. Bild 8-7 zeigt seine Prinzipschaltung.
ner ersten, sehr einfachen Naherung betrachtet In der Praxis enthalten die Verstarker viele wei-
man den Verstarker als ideal; lediglich die Ein- tere Bauelemente, um die erwiinschte Funktion
gangsfehlspannung (Offsetspannung UIQ) und unter den geforderten Bedingungen sicherzu-
der Frequenzgang v = f{f) werden besonders stellen.
326 8 Analoge integrierte Schaltungen

Tabelle 8-2. Begriffe beim Operationsverstarker.

Grenzwerte Werte Diese Werte diirfen nicht uberschritten


(Absolute maximum ratings) werden, ohne den Verstarker zu beschadigen.

Speisespannung (/s = ±18V hochstzulassige Versorgungsspannung


(Supply voltage)
Eingangsspannung U, = ±15Y hochstzulassige Eingangsspannung
(Input voltage range)
Differenzeingangsspannung t/iD±30V hochstzulassige Spannung zwischen den
(Differential input range) Eingangen
KurzschluBdauer r^ = oo Diese Zeit darf der OPV bei 25 °C Umge-
(Duration of output short circuit) bungstemperatur gegen 0 V kurzgeschlossen
sein.
Sperrschichttemperatur rj = 150°C hochstzulassige Sperrschichttemperatur im
(Junction temperature) Betrieb
Lagertemperatur T,,, = - 5 5 ° C zulassiger Bereich der Umgebungstempera-
(Storage temperature) 125 °C tur ohne Betrieb

Funktionsbereich In diesem Bereich halt der Verstarker die


(Operating range) angegebenen Daten ein.

Speisespannung Us = ± 3V In diesem Bereich arbeitet der Verstarker


(Supply voltage) ±18V hnear.
Umgebungstemperatur ru = 0°Cbis70°C In diesem Bereich halt der Verstarker die
(Operating free-air temperature) angegebenen Daten ein.

Kennwerte
(Electrical characteristics)

Eingangsnullspannung, Uio = ± 2 mV Bei dieser Eingangsspannung wird die Aus-


Eingangsfehlspannung gangsspannung des Operationsverstarkers
(Input offset voltage) OV
Temperaturkoeffizient der Eingangs- a^,, = 3nV/K Anderung der Eingangsfehlspannung als
fehlspannung avxo Funktion der Sperrschichttemperatur
(Temperature coefficient of input
offset voltage)
Eingangsnullstrom, Ao = ± 20 nA Bei dieser Eingangsstromdifferenz wird die
Eingangsfehlstrom Ausgangsspannung des Operationsverstar-
(Input offset current) kers 0 V.
Temperaturkoeffizient des Eingangs- ai,^ = 0,5 nA/K Anderung des Eingangsfehlstroms als
fehlstroms Funktion der Sperrschichttemperatur.
(Temperature coefficient of input
offset current)
Eingangsstrom /, = 60 nA Mittelwert der beiden Eingangsstrome
(Input bias current) AB bei Eingangs- und Ausgangsspannung
gleichOV
Eingangswiderstand i^i = 2 MQ Eingangswiderstand zwischen den beiden
(Input resistance differential mode) Eingangen des OPV bei kleinen Eingangs-
signalen
Eingangskapazitat C, = 1,5 pF Eingangskapazitat zwischen den beiden
(Input resistance differential mode) Eingangen des OPV bei kleinen Eingangs-
signalen
8.2 Operationsverstarker 327

Tabelle 8-2. Begriffe beim Operationsverstarker (Fortsetzung).

Grenzwerte Werte Diese Werte diirfen nicht iiberschritten


(Absolute maximum ratings) werden, ohne den Verstarker zu beschadigen.

Rauschdichte der Eingangsspannung U^ = 15nY/y/Hz Effektivwert der scheinbaren Eingangsspan-


(Input noise voltage density) nung, die liber den Verstarker die Rausch-
spannung am Ausgang in einem vorgegebe-
nen Frequenzbereich erzeugt
Rauschdichte des Eingangsstroms I, = 3vA/^Uz Effektivwert des scheinbaren Eingangs-
(Input noise current density) stroms, der liber den Verstarker die Rausch-
spannung am Ausgang in einem vorgegebe-
nen Frequenzbereich erzeugt
Gleichtaktunterdruckung CMRR = 90 dB Um dieses Verhaltnis werden gleichsinnige
(Common mode rejection ratio: Anderungen der Eingangsspannung weni-
CMRR) ger verstarkt als Differenzeingangsspan-
nungen.
Betriebsspannungsunterdriickung PSRR = 20 ixV/V Die Anderung der Betriebsspannung U^
(Power supply rejection ratio: um 1 V verursacht die gleiche Anderung
PSRR) der Ausgangsspannung wie 20 |iV Eingangs-
spannungsanderung. Die Werte konnen fiir
die positive und die negative Ausgangs-
spannung verschieden sein.
Spannungsverstarkung, i;uo = 110dB Spannungsverstarkung im linearen Bereich
Leerlaufspannungsverstarkung 220 V/mV flir Gleichspannungen und niedrige Fre-
(Large signal voltage gain) ^vo quenzen. Angabe als UQ/U^ in V/mV oder
als Ayo = 20 Ig UJU, in dB.
Aussteuerbereich der Ausgangs- [/oss = ±12V Linearer Bereich der Ausgangsspannung
spannung KDM bei vorgegebener Betriebsspannung und
(Output voltage swing) vorgegebenem Lastwiderstand
Anstiegsgeschwindigkeit der S = 0,8V/^is Bauartbedingte schnellste Anderung der
Ausgangsspannung SR Ausgangsspannung. Der Wert liegt beim
(Slew rate) kompensierten OPV fest und kann beim
unkompensierten durch externe Beschal-
tung reduziert werden.
Verstarkungs-Bandbreite-Produkt 5i = 3 MHz Frequenz, bei der die offene Verstarkung
(Unity-gain bandwidth) auf 1 abgesunken ist
Leistungsbandbreite 5n,ax=15kHz H5chste Frequenz, bei der der Verstarker
(Full power bandwidth) noch den vollen Hub der Ausgangsspan-
nung erreicht
Phasenreserve bei der Verstarkung ^ . = 60° Reserve bis zur kritischen Phasendrehung
v=l (180°) bei hohen Frequenzen mit der Ver-
(Phase margin at unity gain) starkung 1
Ausgangswiderstand RQ = 100 a Ausgangs-(Innen-)Widerstand des nicht
(Open loop output resistance) gegengekoppelten Verstarkers
AusgangskurzschluBstrom 7os = 10 mA Strom im Ausgang, wenn dieser nach Masse
(Short circuit output) kurzgeschlossen ist
Stromaufnahme Is = 2 mA Stromaufnahme des Verstarkers beim Aus-
(Supply current) gangsstrom 0. Ist der Ausgangsstrom =#0,
dann erhoht sich die Stromaufnahme ent-
sprechend.
328 8 Analoge integrierte Schaltungen

Bild 8-5. Ersatzschaltbild eines realen Operationsverstdrkers.

a) genormtes Schaltzeichen Versorgungsstromen (jiA-Bereich) auskommen.


Die erste Verstdrkerstufe ist stets ein Differenz-
+ l / = 00 verstdrker (Abschn. 3.2.5 und Bild 8-7). Er hat
zwei Eingange, einen invertierenden ( —) und
einen nicht invertierenden (+), die in der Schal-
tung meist mit + und — bezeichnet sind. Das
Eingangssignal U^ erscheint verstarkt und
b) alteres anschauliches Schaltzeichen gleichphasig am Kollektor des Transistors T2
und am Kollektor von T^ verstarkt und gegen-
phasig.
Der Kollektor C2 steuert die Basis des Transi-
stors T3, der als zweite Spannungsverstarker-
stufe arbeitet.
Sein Kollektor steuert die Basisanschliisse der
c) Operationsverstarkermit Anschlussen zur
Stromversorgung Endstufentransistoren T4 und T5, die in KoUek-
torschaltung betrieben werden. Der Transistor
T4 liefert positive Ausgangsstrome, T5 negative
Ausgangsstrome. Beide sind reine Stromver-
starker: die Spannungsverstarkung 1^3 dieser
Stufe ist f 3 ^ 1. In jeder Stufe besitzt der Ver-
starker andere Eigenschaften (Bild 8-7).
Bild 8-6. Schaltzeichen des Operationsverstdrkers.
8.2.2.1 Eingangsstufe als Differenzverstarker
Operationsverstarker werden meistens aus zwei
symmetrischen Speisespannungen -\-U^ und Der Verstarker soil bei der Eingangsspannung
— V^ gespeist, die im allgemeinen ± 15 V betra- Uj = 0 am Ausgang die Spannung L/Q = 0 V
gen. Sie erhalten keinen 0-Volt- oder Masse- abgeben. Dies ist nur naherungsweise moglich.
anschluB der Versorgungsspannung. Bis auf Hierzu muB die stark temperatur- und strom-
eine kleine Restspannung von ungefahr 1 V bis abhangige Basis-Emitterspannung der verstar-
3 V konnen sich die Eingangs-, Ausgangs- und kenden Transistoren kompensiert werden. Im
internen Potentiale frei innerhalb der Versor- Differenzverstarker (Abschn. 3.2.5) erzeugt eine
gungsspannungen bewegen. Fur besondere An- zweite, unter gleichen Bedingungen betriebene
wendungen gibt es Verstarker, die mit wesent- Verstarkerstufe die gleiche Fehlspannung und
lich kleineren Spannungen (3 V) und kleinen kompensiert damit den unerwiinschten Feb-
8.2 Operationsverstarker 329

hohen Wert haben. Der dazu erforderliche sehr


kleine Basisstrom /g wird durch hochverstar-
kende npn-Transistoren T^ und T2 (P ^ 150) so-
wie einen kleinen Kollektorstrom erreicht. R^
hegt bei Verstarkern mit bipolaren Eingangs-
nicht transistoren zwischen 100 kQ und 50 MQ, ab-
invert.
Eing. hangig von der Art der Eingangsstufe. Besteht
die Eingangsstufe aus Feldeffekttransistoren,
dann kann der Eingangswiderstand erheblich
hoher sein.
invert,
Eing. 8.2.2.2 Zweite Stufe als Spannungsverstarker
Die zweite Spannungsverstarkerstufe kann ein
weiterer Differenzverstarker sein. Bei einfachen
Operationsverstarkern besteht sie aus einem
Verstarkertransistor, der wegen der hoheren
Bild8-7. Prinzipschaltung eines einfachen Opera- Stromverstarkung auch ein Darlingtontransi-
tionsverstarkers. stor (Abschn. 3.2.6, Bild 3-44) sein kann. Sein
Arbeitswiderstand ist haufig eine Stromquelle
mit einem sehr hohen differentiellen Wider-
stand, wodurch die Verstarkung erhoht wird,
wahrend die Verlustleistung von der augen-
blicklichen Ausgangsspannung des OPV unab-
hangig wird. Die gemeinsame Spannungsver-
starkung der ersten und der zweiten Stufe
betragt meist D = 100000 oder 100 dB. Die
zweite Verstarkerstufe enthalt haufig einen Tief-
paB, der die Verstarkung mit zunehmender Fre-
quenz verkleinert, damit der riickgekoppelte
Verstarker nicht schwingt (beschrieben in der
Regelungstechnik, Abschn. 10).
Der Kondensator C in Bild 8-7 beschaltet die
0 25 50 75 zweite Verstarkerstufe als Miller-Kapazitdt
Temperatur d/°C ^- (Abschn. 3.2.1.5, Bild 3-30). Er kann im Verstar-
Bild8-8. Moglicher Verlauf der Eingangsfehlspan- ker integriert sein, dieser ist dadurch frequenz-
nung verschiedener Operationsverstarker als Funktion kompensiert. Bei vielen Verstarkern kann er
der Kristalltemperatur.
extern angeschlossen und mit der iibrigen Be-
ler fast vollstandig. Die Eingangsfehlspannung schaltung abgestimmt werden. Der Innenwider-
(engl.: offset voltage; von offset: Versatz) liegt bei stand der ersten Stufe und der Kompensations-
guten Verstarkern erheblich unter 100 |iV. Bild kondensator C bestimmen den Frequenzgang,
8-8 zeigt einen moglichen Verlauf der Eingangs- der in Bild 8-9 schwarz dargestellt ist.
fehlspannung als Funktion der Kristalltempe- Verringert man die offene Verstarkung durch
ratur. Bei 25 °C ist der Betrag dieser Span- eine Beschaltung, dann erhoht sich die Grenz-
nung stets kleiner als der angegebene Grenz- frequenz, bei der die Verstarkung um 3 dB ab-
wert, der bei groBeren Temperaturanderungen fallt (rote Kurve). Das Produkt aus Verstarkung
iiberschritten werden kann. Bei vielen Opera- und Bandbreite bleibt aber konstant (Abschn.
tionsverstarkern kann man die Eingangsfehl- 3.2.7.1, Bild 3-45).
spannung durch eine externe Beschaltung nach Die Frequenzkompensation in der zweiten
Bild 3-41 a zu null korrigieren: Die Kurve in Stufe bestimmt die Anstiegsgeschwindigkeit der
Bild 8-8 verschiebt sich parallel, so daB sie bei Ausgangsspannung dujdt als eine weitere
der Abgleichtemperatur durch 0 mV geht. typische Eigenschaft der Operationsverstarker.
Der Eingangswiderstand K, soil einen moglichst Die Anstiegsgeschwindigkeit S (engl.: slew rate)
330 8 Analoge integrierte Schaltungen

100 1 geringen Strom, der fur die praktische Anwen-


— ohneCBegenkopplL»ng
— mitGe genkopplun 3 dung zu klein ist. Ein nachgeschalteter Endstu-
fentransistor soil die Ausgangsspannung erhal-
80 ten und den Ausgangsstrom verstarken. Hierzu
^\^ eignet sich ein Transistor in Kollektorschaltung
(Abschn. 3.2.2, Bild 3-30). Dieser Transistor
kann den Ausgangsstrom nur in einer Richtung,
60 zwischen einer Versorgungsspannung und dem
Ausgang, steuern. Da der Operationsverstarker
positive und negative Ausgangsstrome aufbrin-
(0 gen muB, sind zwei symmetrisch angeordnete
Q. 40
CO Endstufentransistoren T4 und T5 in Kollektor-
schaltung erforderhch, die parallel geschaltet
sind und bei verschiedenen Halbwellen arbeiten
20
(Bild 8-7).
10 100 IK 10 K 100K
Die Eingange an der jeweihgen Basis darf man
Frequenz in Hz ^- gleichstrommaBig nicht direkt parallel schalten;
Bild 8-9. Verstdrkung als Funktion der Frequenz. denn die Ansteuerung muB die Basis-Emitter-
spannung der Transistoren T4 und T5 sowie den
S = dujdt hat die Einheit V/|as und gibt an, wie Spannungsabfall an den Emitterwiderstanden
schnell sich die Ausgangsspannung UQ hoch- RE4 und RE5 beriicksichtigen. Der Strom in der
stens andern kann. Oberhalb einer bestimmten zweiten Spannungsverstarkerstufe verursacht
Frequenz, der Leistungsbandbreite (engl.: full den erforderhchen Spannungsabfall an den Di-
power bandwidth), nimmt die Amplitude der oden Di und D2 sowie am Widerstand i^j^,
Ausgangsspannung mit zunehmender Frequenz unabhangig von der augenblicklichen Aussteuer-
Hnear ab. Bild 8-10 zeigt die groBtmogliche spannung des Verstarkers.
Ausgangsspannung UQ bei verschiedenen Ar-
beitsfrequenzen. Bei beiden Frequenzen ist die Erreicht der Transistor T3 seine Sattigungsspan-
Anstiegsgeschwindigkeit gleich. nung t/cEsat (ungefahr 0,5 V bis 1 V), dann ist
die Aussteuergrenze erreicht. Die Spannungs-
15 differenz dU^^ zwischen der Speisespannung
1
— 25KHz [7s + und der groBten Ausgangsspannung UQ
— 100 KHz

7
10 setzt sich aus dem Spannungsabfall iiber den
Emitterwiderstanden R^a und RE4, der Satti-
\ / \ gungsspannung an T3 und der Basis-Emitter-
spannung von T4 zusammen. Der Betrag der

\iV ^ ^
V
A A ,/
V
A^
V|
groBten Aussteuerspannung ist deshalb 2 V bis
3 V kleiner als die jeweiHge Speisespannung
U^^ und U^_. Mit steigendem Ausgangsstrom

V / sinkt die Ausgangsspannung. Der differentielle


Innenwiderstand liegt zwischen 50 Q und 500 Q.
Der Ausgangsspannungsbereich modtmQV Rail to
10 \ /
Rail Operationsverstarker umfaBt den ganzen Be-
reich der Versorgungsspannung. Auch die Ein-
- 15 gangsspannung darf sich im ganzen Bereich der
0 20 40 60
Versorgungsspannung verandern, ohne daB der
Zeit — • » - f/|is
Verstarker den linearen Bereich verlaBt. Dadurch
Bild 8-10. Grdfitmogliche Ausgangsspannung eines konnen diese Verstarker an einer unipolaren
Operationsverstdrkers als Funktion der Frequenz.
Spannungsquelle, beispielsweise +5 V, betrieben
werden.
8.2.2.3 Endstufe als Stromverstarker
Bei kurzgeschlossenem Ausgang des Verstarkers
Die zweite Spannungsverstarkerstufe liefert fallt am Emitterwiderstand von T4 so viel Span-
zwar den voUen Spannungshub, aber nur einen nung ab, daB T6 durchgesteuert wird und T4 den
8.2 Operationsverstarker 331

15 stande sind nicht nur schlecht zu integrieren; sie


iI wiirden an dieser Stelle auch einen untragbar
10 groBen Spannungsabfall verursachen. Deshalb
arbeiten die Kollektoren der Transistoren T3
-1> y
und T4 nicht auf ohmsche Widerstande, son-
dern jeweils auf eine Stromquelle, die einen
Strom Degrenz ung \ konstanten Arbeitsstrom mit einem hohen In-
c
CO
Q. nenwiderstand kombiniert (Bild 8-13 a).
Die Transistoren T^ und T3, sowie T2 und T4
arbeiten in einer modifizierten Kaskodeschal-
Inrlenwide rstand tung. Der Strom verstarker T^ (j5 ?^ 150) in Kol-
lektorschaltung steuert T3 in Basisschaltung. In
-10 der Kaskodeschaltung bestimmt T^ die Strom-
]f
verstarkung und die Grenzfrequenz, wahrend
•15 T3 fur die Spannungsfestigkeit der Gesamt-
0 10 20 30 schaltung maBgebend ist.
Ausgangsstrom/o/mA ^- pnp-Transistoren in integrierten Schaltungen
Bild8-ll. Grofite Ausgangsspannung eines Opera- auf p-Substrat haben eine geringe Stromver-
tionsverstdrkers als Funktion des Ausgangsstroms. starkung (j5 ?^ 5 bis 15) und eine niedrige Tran-
sitfrequenz {fj ^ 5 MHz). Beide Parameter ha-
Basisstrom entzieht. Der Ausgangsstrom wird be- ben hier wenig EinfluB, da T3 in Basisschaltung
grenzt, der Verstarker wird nicht zerstort. Diesen betrieben wird.
Schutz wendet man auch beim komplementaren
Diese Schaltung zeichnet sich durch einen sehr
Ausgangstransistor an. Der Ausgangsstrom erhalt hohen Innenwiderstand aus und kann mit ei-
die in Bild 8-11 dargestellte Charakteristik. Heute nem hochohmigen Arbeitswiderstand eine hohe
sind alle Operationsverstarker dauerkurzschlufi- Spannungsverstarkung erreichen. Die Transi-
fest. storen T^ und T3 sowie T2 und T4 bilden zusam-
men einen Differenzverstarker. T^ und T2 erhal-
8.2.3 Beispiel eines Standardverstarkers ten ihren Kollektorstrom von Tg, der zusam-
Die realen Stufen eines Operationsverstarkers men mit Tg einen Stromspiegel bildet, wodurch
seien am Beispiel des klassischen Verstarkertyps die Summe der Arbeitsstrome I^^ und 7^2 iiber
741 beschrieben, der in wenig geanderter Schal- einen groBen Eingangsspannungsbereich kon-
tung als robuster und preisgiinstiger Doppel- stant bleibt.
verstarker 1458 von vielen Herstellern weiterge- Die Stromquellen aus T5 und T^ sind uber T7 so
baut und in groBem Umfang eingesetzt wird. gekoppelt, daB ein Stromspiegel entsteht. Dabei
Der Differenzverstarker 741 in Bild 8-12 unter- stellt der Transistor T5 eine Stromquelle mit
scheidet sich in zwei Punkten von dem in Bild dem differentiellen Innenwiderstand R^^2 MQ
8-7. Die Einzeltransistoren T^ und T2 sind Je- dar.
wells durch eine abgewandelte Kaskodeschal- Durch die positive Aussteuerung des Differenz-
tung ersetzt. Dadurch erreicht man im Diffe- verstarkers am nichtinvertierenden Eingang
renzverstarker einen nahezu konstanten Ruhe- steigt der Kollektorstrom 7^3; die Basisspan-
strom iiber den Eingangsspannungsbereich. Bei nung und der Basisstrom von T7 steigen eben-
groBer Eingangsspannungsdifferenz l/j > 5 V falls. Der daraus resultierende Emitterstrom 7^7
nehmen die pnp-Transistoren T3 und T4 die teilt sich gleichmaBig auf die Basisstrome 7B 5
iiberhohte Eingangsspannung auf. Die Basis- und 7B6 auf, und die zugehorigen Kollektor-
Emitter-Strecke eines pnp-Transistors kann in strome 7^5 und 7^6 steigen gleich stark an.
Sperrichtung 30 V aushalten, wahrend ein npn- Im Differenzverstarker ist aber die Summe aus
Transistor schon bei 5 V durchbricht. 7^3 und 7c4 konstant, d. h. wenn 7^3 zunimmt,
Die Spannungsverstarkung der Stufe hangt von muB 7c4 abnehmen. Um den Strom im Strom-
der Stromverstarkung der npn-Transistoren T^ spiegel (Tg) aufrecht zu erhalten, steigt die Kol-
und T2 und den Arbeitswiderstanden der Tran- lektor-Emitter-Spannung (7CE4 am Transistor
sistoren T3 und T4 ab. Hochohmige Wider- T4 soweit an, daB der Strom durch den Transi-
332 8 Analoge integrierte Schaltungen

+ 15V
-\ TTr,
<T,.

nichtinvert.
Eingang

pRi pR^ flRs nR4


UlK MSOK UlK MSK

Bild8-12. Schaltbild des Standard-Operationsverstdrkers 741.

stor T^ ausreichend groB bleibt. Gegenuber ei- ab. Gleiche Anderungen beider Eingangsspan-
ner festen Stromquelle als Arbeitswiderstand nungen wirken sich auf den Transistor T^^ als
fur T4 verdoppelt der Stromspiegel die Span- zweite Verstarkerstufe nicht mehr aus (Bild
nungsverstarkung des Differenzverstarkers. 8-12).
Gleichsinnige Anderungen der Eingangsspan- Die angegebene hohe Gleichtaktunterdriickung
nungen verschieben das Basis-Emitterpotential trifft nur fur Gleichspannung und Frequenzen
der Transistoren T^ bis T4, aber nicht deren bis zu einigen hundert Hertz zu. Bei hoheren
KoUektorpotential. Die dadurch verursachte, Frequenzen nimmt sie wegen der parasitaren
geringe ebenfalls gleichsinnige KoUektorstrom- Kapazitaten in der Eingangsstufe um 20 dB/
anderung 7^3 und 7^4 belastet beide Anschliisse Dekade ab (Bild 3-38). Die Spannungsverstar-
des Stromspiegels gleich, weshalb an den Kol- kung der ersten Stufe betragt v^j ^ 400.
lektoren T4 und T^ keine Spannungsanderung In Bild 8-12 arbeiten die Transistoren T^^ und
auftritt. T12 als Dioden und erzeugen mit dem Wider-
Gleichsinnige Anderungen der Eingangsspan- stand R5 die Basisspannungen der als Strom-
nung werden nicht verstarkt, sondern abge- quelle arbeitenden Transistoren T^Q und T13.
schwacht. Diese Eigenschaft bezeichnet man als Tio bestimmt mit T9 den Ruhestrom des Diffe-
Gleichtaktunterdriickung (engl.: Common Mode renzverstarkers, wahrend die Stromquelle T^^
Rejection Ratio, CMRR) und gibt sie in dB an. der Arbeitswiderstand der zweiten Spannungs-
Sie wird durch die erste Stufe bestimmt und verstarkerstufe ist.
kann heute durch schaltungstechnische MaB- Das Ausgangssignal des Differenzverstarkers
nahmen und eine gut entwickelte Technologic am Kollektor von T4 speist den Darlingtontran-
liber 110 dB betragen. sistor aus T^^ und T^j, dessen Arbeitswider-
Die Stromquellen bestimmen die KoUektor- stand die Stromquelle aus T13 ist. Auch hier
strome. Die Ausgangsspannung der ersten Stufe wird ein konstanter Strom iiber einen groBen
hangt nur von der Eingangsdifferenzspannung Spannungshub und ein groBer differentieller
Ui, nicht aber vom gemeinsamen Potential der Arbeitswiderstand benotigt. Der Transistor T^g
Eingange gegenuber der Versorgungsspannung wirkt mit den Widerstanden R7 und Rg wie eine
8.2 Operationsverstarker 333

a) Vergleich ohmscher Arbeitswiderstand Oder Stromquelle Der positive Ausgangsstrom ist auf ungefahr
20 mA begrenzt. Wird er iiberschritten, dann
fallen an R9 mehr als 0,5 V ab, T15 beginnt zu
ohmscher Arbeitswiderstand leiten und verbraucht den fiir T^^ vorgesehenen
15V Basisstrom. Ist der negative Ausgangsstrom zu
75KQ
0,2 m A " groB, dann flieBt er liber T20, dessen Basisstrom
iiber T17 und den Widerstand R12 aufgebracht
Stromquelle werden muB. Ubersteigt der Spannungsabfall
100V an R12 die 0,5 V-Grenze, so beginnt der Transi-
1MQ
stor T22 zu leiten und entzieht dem Transistor
T16 den Basisstrom.

8.2.4 Operationsverstarker
fiir hohere Anforderungen
Die Leistungsdaten des vorgestellten Univer-
sal ver starker s 741 reichen fiir viele Anwen-
5 10 dungsfalle aus. In manchen Schaltungen wird
Spannungsabfall UN jedoch eine sehr kleine Eingangsfehlspannung
b) Ausgangskennlinien des Transistors und
(offset), ein kleiner Eingangsstrom oder eine
der Stromquelle ais Arbeitswiderstand grofie Bandbreite bendtigt. Die hierfiir angebo-
tenen Operationsverstarker unterscheiden sich
vor allem in der Eingangsstufe. Neu entwickelte
Technologien erlauben die Realisierung mehre-
rer sich bisher widersprechender Forderungen
zu giinstigen Herstellungskosten.
Prdzisionsverstdrker mit hohem Eingangswi-
derstand und kleinem Eingangsstrom benutzen
oft die in Bild 8-14 schematisch dargestellte
Schaltung. Die verwendeten Eingangstransisto-
ren T^ und T2 mit sehr hoher Stromverstarkung
(P ^ 2000), sogenannte Super-^-Transistoren,
erhohen den Eingangswiderstand, die Verstar-
kung und die Gleichtaktunterdriickung. Diese
Transistoren haben eine geringe Basisweite und
Bild8-13. Stromquelle als Arbeitswiderstand. deshalb nur eine kleine KoUektor-Emitter-
Durchbruchspannung. Sie werden vorteilhaft in
Z-Diode (Abschn. 2.5.5) mit der Spannung der Kaskodeschaltung (Abschn. 3.2.8) betrieben.
[/z = 2C/BE- Dadurch flieBt in beiden Endstu- Bild 8-14 zeigt einen Differenzverstarker aus je-
fentransistoren T^^ und T20 ein kleiner Ruhe- weils zwei Transistoren in Kaskodeschaltung.
strom, wodurch beim NuUdurchgang der Aus- Die gemeinsame Eingangsspannung bestimmt
gangsspannung der Ubernahmeknick entfallt. das Potential der Z-Diode Z^ und der Transi-
Der Spannungshub am Ausgang erreicht bis auf storen T^ bis T^2 (bezogen auf die Versorgungs-
jeweils 2 V den Bereich der Versorgungsspan- spannungen + U^ und — U^% wahrend die Kol-
nungen U^^ und U^_. Die Spannungsverstar- lektor-Emitter-Spannung [/^E der Eingangs-
kung der zweiten Stufe betragt r^ ^ 300. transistoren T^ und T2 durch Z^ begrenzt wird.
Der Kondensator C^ bildet zusammen mit dem Die KoUektor-Emitter-Strecken der Transisto-
hohen Ausgangswiderstand des Transistors T4 ren T^i und T12 nehmen die Gleichtakt-Ein-
eine frequenzabhangige Gegenkopplung, wel- gangsspannung auf.
che die Verstarkung bei hoheren Frequenzen Ubersteigt die Eingangsdifferenzspannung 1 V,
verringert und dadurch ein unerwunschtes dann wird ein Eingangstransistor durch eine zu
Schwingen verhindert (Abschn. 8.2.8, StabiH- groBe in Sperrichtung anliegende Basis-Emit-
tatsbetrachtung). ter-Spannung zerstort. Die beiden antiparallel
334 8 Analoge integrierte Schaltungen

Drain-Strom im Ausgangskreis groB gewahlt


Z
+ U,
wird, um hohere Frequenzen im Verstarker zu
verarbeiten. Diese Eingangsstufe ermoglicht
^ schnelle Verstarker mit hohem Eingangswider-
zur stand.
nachsten
Stufe Differenzverstarker mit Feldeffekttransistoren
rCT" T,S haben aber eine groBere und starker tempera-
turabhangige Eingangsfehlspannung, die sich
beim Verpacken in Plastikgehause und spater
durch Alterung andert. Sie sind deshalb uber
lange Zeit weniger stabil als bipolare Schaltun-
gen. Bei hohen Temperaturen (>100°C) kann
der Eingangsstrom, der ein Sperrstrom eines
pn-tjbergangs ist, sehr stark ansteigen.
Fur Sonderanwendungen gibt es Leistungs-
operationsverstarker, die bei hoher Span-
nung ((7s = ± 140 V) oder hohen Stromen
Us (^0 = ± 10 A) arbeiten konnen. Die hohe Ver-
lustleistung wird uber ein bei Leistungstransi-
Bild8-14. Eingangsstufe eines Operationsverstdr-
kers mit Super-P-Transistoren.
storen verwendetes Gehause abgefiihrt (Bild
8-4).

geschalteten Dioden schiitzen den Eingang des-


halb vor Uberspannung. 8.2.5 Stabilitatsbetrachtung
Diese Schaltung hat einen guten Gleichlauf bei- Die Riickkopplung eines Operationsverstar-
der Eingangstransistoren und daher eine kleine kers fuhrt bei falscher Dimensionierung zur
Eingangsfehlspannung. Die hohe Stromverstar- Selbsterregung und damit zu unerwunschten
kung der Eingangstransistoren fuhrt neben Schwingungen. Operationsverstarker werden
einem kleinen Eingangsstrom (/jg » 0,5 nA) stets mit einer Riickkopplung vom Ausgang auf
zu einem sehr hohen Eingangswiderstand den invertierenden Eingang betrieben. Der Si-
{R^ ^ 40 MQ) und einer guten Gleichtakt- gnalfluB vom invertierenden Eingang zum Aus-
unterdriickung {CMRR > 110 dB). gang entspricht 180° Phasendrehung. Eine
Der kleine Arbeitsstrom von /^ ^ 1 jiA und die ohmscfie Beschaltung verursacht keine zusatz-
unvermeidbaren parasitaren Kapazitaten be- hche Phasendrehung, und es entsteht eine
dingen schon bei niedrigen Frequenzen einen ideale Gegenkopplung.
frequenzabhdngigen Verstdrkungsabfall Ver- Mit zunehmender Arbeitsfrequenz erzeugt der
starker mit dieser Eingangsstufe sind zwar ge- Operationsverstarker selbst eine zusatzliche
nau, aber meistens langsam. Sie haben im allge- Phasendrehung; denn seine Verstarkerstufen be-
meinen einen weiteren Differenzverstarker als stehen aus Transistoren mit endUcher Grenzfre-
zusatzliche Spannungsverstarkerstufe. quenz sowie Widerstanden und Kondensatoren
Soil der Operationsverstarker bei hoheren Fre- im Arbeitskreis. Erreicht diese zusatzHche Pha-
quenzen arbeiten, dann muB man entweder die sendrehung 180°, dann wirkt das zuriickgekop-
Eingangsstufe mit einem hoheren Strom betrei- pelte Signal nicht gegen das Eingangssignal,
ben, wobei der benotigte Eingangsstrom steigt sondern mit ihm und verstarkt seine Wirkung.
und der Eingangswiderstand sinkt, oder den Aus der Gegenkopplung ist eine Mitkopplung
Differenzverstarker aus Feldeffekttransistoren geworden. Jede Storung, beispielsweise Rau-
aufbauen (Abschn. 3.4.5, Bild 3-61). schen, erscheint wieder verstarkt am Eingang
Eine Stromquelle in der gemeinsamen Source- und durchlauft den Verstarker erneut solange,
Zuleitung ermoghcht einen groBen Eingangs- bis der Verstarker die Aussteuergrenze erreicht,
spannungsbereich und eine gute Gleichtakt- d. h. der Regelkreis schwingt.
unterdriickung. Feldeffekttransistoren haben Im regelungstechnischen Sinn ist der Opera-
einen kleinen Gate-Strom, auch wenn der tionsverstarker eine Reihenschaltung mehrerer
8.2 Operationsverstarker 335

Tiefpasse, die mit zunehmender Frequenz die a) Stufen des Operationsverstarkers und ihr Frequenzgang
Verstarkung verringern und durch die Signal- 1. Stufe 2. Stufe Endstufe
laufzeit eine Phasenverschiebung zwischen dem Differenzverstarker Spannungsverstarker Stromverstarker
Eingangs- und dem Ausgangssignal verursa-
chen. Bild 8-15 a zeigt die drei Verstarkerstufen
als in Reihe geschaltete Tiefpasse. Diese verstar-
it>—\>
kenden Tiefpasse verursachen die in Bild 8-15 b 400 10 kHz V 300 200 kHz 0,9 3 MHz
dargestellte frequenzabhangige Verstarkung
und die zugehorige Phasenverschiebung (Bild \
J-^^ J L ^ ^
8-15 c). Die Gesamtverstarkung entsteht aus 1 10^ 10^//Hz 1 10^ 10^f/Hz 1 10^ lO^f/Hz
dem Produkt der Einzelverstarkungen, deren
logarithmisches MaB (in dB) man leicht zur Ge- b) Frequenzgang
samtverstarkung addieren kann. Die Phasen- 10'
verschiebung der einzelnen Stufen laBt sich di- ^
rekt addieren und als Gesamtverschiebung
10^
darstellen. Beide Kurven ergeben das Bode-
Diagramm eines Operationsverstarkers.
10^
In Abschn. 10 wird gezeigt, daB ein Regelkreis
nur dann stabil ist, wenn bei 360° Phasendre- V
hung die Verstarkung t; < 1 ist. Diese Vorausset- 1102
^
zung muB bei der Beschaltung immer erfullt 0
sein. >10
Die einfachste Losung besteht aus einem Regel-
kreis mit moglichst wenig Verzogerungsgliedern,
wovon eines eine niedrige Grenzfrequenz, die
iibrigen eine hohe Grenzfrequenz haben. Durch 0,1
eine zusatzliche Beschaltung wird die Frequenz 1 10 10^ 10=^ 10^ 10^ 10^ 10^
der ersten vorhandenen Polstelle (des Tiefpas- Frequenz //Hz ^-
ses mit der niedrigsten Grenzfrequenz) des Ope-
c) Phasengang
rationsverstarkers soweit verringert, daB die
Verstarkung im ganzen Regelkreis auf eins ab-
gesunken ist, bevor die Phasendrehung der
nachsten Polstelle weitere 90° verursacht. Die
roten Linien in Bild 8-15 a, 8-15 b und 8-15 c
zeigen die neue frequenzabhangige Verstarkung
und Phasendrehung.
Die Verstarkung im Regelkreis hangt vom Fre- 1 10 10^ 10=^ 10^ 10^ 10^ 10^
quenzgang des Operationsverstarkers und der
Frequenz f/Hz ^-
riickfiihrenden Beschaltung ab. Ist die Verstar-
kung der ganzen Schaltung v>\, dann wird nur Bild 8-15. Bode-Diagramm eines Operationsverstar-
kers ohne Beeinflussung der Stufen.
der Teil k = l/v der Ausgangsspannung auf den
Eingang zuriickgefiihrt, die Kreisverstarkung
wird mit dem Ruckkoppelfaktor k{k<\) multi- ist die Abschwachung /c = 1, weshalb die StabiH-
pliziert und der Operationsverstarker darf bei tatsbedingung am schwierigsten zu erfiillen ist.
gleicher Phasendrehung eine entsprechend ho- Intern kompensierte Verstarker sind meistens
here Verstarkung haben, bevor er die Stabih- fur die Verstarkung 1 kompensiert. Sie arbeiten
tatsgrenze erreicht. Die optimale Korrektur des dadurch sicher, aber langsam.
Frequenzgangs beriicksichtigt die Eigenschaf- Durch einen extern zugeschalteten Konden-
ten des Operationsverstarkers und die Verstar- sator Oder die Kombination von Kondensator
kung und Phasendrehung der Ruckfuhrung. und Widerstand kann man den Frequenzgang
Bild 8-16 veranschaulicht den Signalweg im Re- individuell korrigieren und an die Beschaltung
gelkreis. Bei der Spannungsverstarkung v^ = \ anpassen. Die Dimensionierung und die resul-
336 8 Analoge integrierte Schaltungen

In der Praxis liegt der neue Pol eines intern


kompensierten bipolaren Operationsverstar-
kers zwischen 1 Hz und 10 Hz. Ein TiefpaB mit
3 Hz Grenzfrequenz besteht beispielsweise aus
U, einem Widerstand mit 1 MQ und einem Kon-
densator von 53 nF. Kondensatoren dieser
GroBe lassen sich nicht in integrierten Schal-
tungen herstellen, weshalb der TiefpaB auf ei-
nem anderen Weg zu verwirkHchen ist.
Bild 8-16. Signalweg im Regelkreis eines ruckgekop- Die erste Polstelle wird vom TiefpaB aus dem
pelten Operationsverstarkers.
differentiellen Innenwiderstand des Differenz-
verstarkers (Ausgangswiderstand des Differenz-
tierende Verstarkung als Funktion der Fre- verstarkers in Bild 8-7) und der dynamischen
quenz ist in den Datenblattern der Hersteller Eingangskapazitat der nachfolgenden Span-
angegeben. Die Verstarker bezeichnet man als nungsverstarkerstufe (Bild 8-7) bestimmt (Mil-
nicht frequenzkompensiert (engl.: noncompen- ler-Integrator, Abschn. 3.2.1.5). Die zusammen
sated). Sie arbeiten ohne externe Kompensation mit anderen parasitaren Kapazitaten und
nicht stabil oder nur bei hoher Verstarkung, dem Innenwiderstand des Differenzverstarkers
d.h. bei starker Abschwachung durch das R^^2 MQ erzeugte Grenzfrequenz liegt bei
Ruckfuhrnetzwerk. Bei einer externen Kompen- einem bipolaren Verstarker ungefahr bei
sation wird die Bandbreite nicht mehr als unbe- 10 kHz. Wird zu dieser Kapazitat eine weitere
dingt notwendig eingeschrankt; der Verstarker hinzugeschaltet, dann laBt sich die Grenzfre-
arbeitet schneller als ein intern universell kom- quenz um mehrere Zehnerpotenzen senken.
pensierter Verstarker (Bild 8-17). Dabei erscheint der Kondensator C ;^ 30 pF
10^ um die Spannungsverstarkung v^ ^ 400 ver-
groBert. Durch diesen Kunstgriff reichen Kon-
10^ densatoren zwischen 30 pF und 100 pF aus, die
sich innerhalb der Schaltung herstellen lassen.
10^ Bild 8-7 zeigt beispielsweise den Kondensator C
zwischen Ausgang und Eingang der zweiten
V= 10 0 \ Spannungsverstarkerstufe.
10^

CO
Q.
CO
v=^o \N Die Verstarkung der zweiten Spannungsver-
starkerstufe hat bei 200 kHz den nachsten Pol,
der von einem TiefpaB aus dem Arbeitswider-
V= 1 stand der zweiten Stufe und der parasitaren
Lastkapazitat verursacht wird. Der Kompen-
sationskondensator C bildet bei hoheren Fre-
0,1
10 10^ 10=^ 10^ 10^ 10^ 10^ quenzen eine Spannungsgegenkopplung (Ab-
Frequenz f/Hz schn. 3.2.1.4), die den Ausgangswiderstand der
— Verstarkung als Funktion der Frequenz Stufe verringert und dadurch den EinfluB des
— offene Verstarkung bei der gewahlten Bandbreite Lastkondensators verkleinert. Der zweite Pol
Bild 8-17. Verstarkung und Bandbreite eines extern wird von 200 kHz nach ;^ 10 MHz verschoben.
kompensierten Operationsverstdrkers. Der Vorgang heiBt Pol-Splitting, Bild 8-18 zeigt
den dadurch entstehenden Frequenzgang eines
Der groBte Teil der heute angebotenen inte- kompensierten Verstarkers (rot) im Gegensatz
grierten Operationsverstarker ist intern kom- zu einem unkompensierten (schwarz). Dabei
pensiert. Bei ihnen liegt die erste Grenzfrequenz fallt auf, daB bei Frequenzen oberhalb 50 kHz
so niedrig, daB der Verstarker mit der Verstar- die Phasendrehung des kompensierten Verstar-
kung v = l, d.h. ohne abschwachende Riick- kers geringer ist als die des unkompensierten
kopplung stabil arbeitet. Diese Verstarker ha- Verstarkers.
ben wenig Anschliisse und sind einfach zu Operationsverstarker sollen am Ausgang nicht
handhaben. kapazitiv belastet werden. Der Kondensator
8.3 Operationsverstarker mit statischer Beschaltung 337

aus, da jede Storung eine Schwingung mit der


a) Stufen des Operationsverstarkers und ihr Frequenzgang
Eigenfrequenz auslost, die nur allmahUch ab-
1. Stufe 2. Stufe Endstufe kUngt. Das zuruckgefiihrte Signal durchlauft
Differenzverstarker Spannungsverstarker Stromverstarker
den Verstarker und die Riickfuhrung und er-
scheint wieder als gleichphasiges und nahezu
^ > — > gleich groBes Signal am Eingang, weshalb die
400 10 KHz
Schwingung entsprechend langsam abklingt.
300 200 KHz 0,9 3 MHz Eine sprunghafte Storung am Eingang des Ver-

J
1
1 \ | \ ^
10^ 10^/7Hz 1
J_ X
10^ 10^ /7Hz 1
J L
10^ 10^ /7Hz
X starkers erzeugt die Ausgangsspannung nach
Bild 8-19, Kurve 2 (Kurve 1 entspricht dem
schwach gedampften Verlauf).
b) Frequenzgang

10^ m
Ao - ^ ^
10^ Sprung a m ' ^
Eingang ^^ /
A 1/ ^
lO-'
\ 1//^
>0
B ^o'

> 10

Einschwingzeit in Vieifaciien der Periodendauer l/f^ — » -

Bild 8-19. Einschwingen eines Operationsverstarkers


bei unterschiedlicher Vers tar kung des Regelkreises.
10"
1 10 10^ 10^ 10^ 10^ 10^ 10'
Frequenz f/Hz ^^
Gute praktische Ergebnisse liefert ein Regel-
kreis, der bei der Verstarkung v = \ nicht mehr
c) Phasengang als 120° Phasendrehung verursacht und damit
0° noch 60° Phasenreserve bis zur kritischen
Riickkopplung aufweist. Dieser Regelkreis hat
bei 180° Phasendrehung nur noch die Verstar-
kung V = 0,3. Nach einem Spannungssprung
180°
am Eingang schwingt der Ausgang nach der
Kurve 3 in Bild 8-19 ein. Wird die Verstarkung
weiter vermindert, so verschwindet das Uber-
270°
10 10^ 10^ 10^ 10^ 10^ 10^ schwingen. Bei v = 1 und 90° Phasenreserve
Frequenz /7Hz ^^ entsteht der aperiodische Grenzfall und der Aus-
gang schwingt nach Kurve 4 ein.
Bild8-18. Bode-Diagramm eines Operationsverstar-
kers mit gegenseitiger Beeinflussung der Stufen.
8.3 Operationsverstarker
bildet mit dem ohmschen Innenwiderstand des
Verstarkers einen zusatzlichen TiefpaB, der eine
mit statischer Beschaltung
weitere Phasendrehung bewirkt und den gegen- Dieser Abschnitt beschreibt nur die statischen
gekoppelten Verstarker instabil machen kann. Schaltungen. Das sind Schaltungen zur Verstar-
Die zuvor genannte Stabilitatsbedingung {v <\ kung zeitlich gleichbleibender oder niederfre-
bei 180° Phasendrehung) ist eine Grenzbedin- quenter Signale, bei denen das vollstandige Ein-
gung, die nur die Selbsterregung verhindert. In gangssignal unverfalscht verstarkt wird, d.h.
der Praxis reicht diese Dimensionierung nicht alle Frequenzen werden mit der gleichen Ver-
338 8 Analoge integrierte Schaltungen

Eigenschaft Eingangs- Gleichung der Bild der


Schaltung widerstandl Ubertragungsfunktion Ubertragungsfunktion
Besonderheiten

invertierender
^ Re = Ri U, = -U,
Ri

KP )"•
Spannungsverstarker
V= -
Ri

nicht invertierender
Spannungsverstarker
Elektrometerverstarker Re-Re
sehrhoherEingangs-
widerstand

Subtrahierverstarker ^ R2JRJ/R2HJ. _ 11 R2
Rel ^ Rl
' 2Ri(R3/R4) + 1 'Ri
L/i invertierend
U2 niciit invertierend Re2 = fijr R,/R2 = R3/R4 gilt:
verstarkt nur die R3+R4
Differenz(U2-Ui)
^a-^(^

Schmitt-Trigger • ^a
schaltet bei der Schwelle Re = Ri
Ua = ^+sattOderL/_satt
Ruck- 1/ = 00 beim Schalten
Die Schaltpunkte der an-
steigenden und der ab- wirkung V = 0 inRuhe
fallenden Flanke unter- auf den
Eingang Ri
sciieiden sich urn die
Hysteresespannung U^^ beim R2
Schalten

Gi
U. = U,
G2 + G3 + ..
invertierender Re=Rl
Spannungsverstarker
mit nichtlinearer Die Verstarkung hangt von
Ruckfuhrung der Ausgangsspannung ab.
siehe Text
\u. pb^u,
addierenderund Ri
invertierender
Spannungsverstarker

keine Ruckwirkung der Re3 — R3


''•-5^
versciiiedenen Eingangs- \1// 2 = -R4
p ^ U.S.W.
spannungen aufeinander

R3.I
addierenderund Re1 =- R3I
nicht invertierender
+ R32 1 R33 1+-^jf(L/i,L/2,Un.Ri,R2,Rn)
Spannungsverstarker
Ruckwirkung der Ein-
Re2 '-R32
gangsspannungen
uberdieWiderstande + R3I+R33
R3.X
siehe Text
I Ri R2

Bild 8-20. Zusammenstellung statisch beschalteter Operationsyerstdrker.


8.3 Operationsverstarker mit statischer Beschaltung 339

Eigenschaft Eingangs- Gleichung der Bild der


Schaltung widerstandl
Besonderheiten Ubertragungsfunktion Ubertragungsfunktion

Ri R2
Einweg-Gleichrichter
mit gemeinsamen
Bezugspotential
geeignet als Prazisi-
onsgleichrichterzur
Re = Ri
^a=^e-p^furi7e<0

I7a = 0 fur Ue > 0


M:
elektrischen
Weiterverarbeitung.

Zweiweg-Gleichrichter|
• 'a
|ohne gemeinsames

'm
i<rHH Bezugspotential Re — Ri
Ri
geeignet als Prazi- ^e

l. sionsgleichrichter
fur mA-Meter
"K
2Ri R2 Zweiweg-
Gleichrichter | R,
mit gemeinsamen Ri II 2 R
2Ri
Ri Ri Bezugspotential
Prazisions- 2

^g^if gleichrichter
zur elektri-
J schen Weiter-
verarbeitung
Ri

Bex
Spitzenwertgleich- Der Kondensator 0 halt den uJ

fc^
richter Re-R.oK, den Spitzenwertder Eingangs-
spannung u^, bis er von einem

.d J^}RL]|
Die Schaltung halt
einen kurzen Spitzen- Re sehr
wert bis zum nachsten groB
hoheren Wert uberschrieben
wird. Der Kondensator C wird
nur uber den Lastwiderstand
groBeren fest. RL entladen

-^f-^
Ua -UA
/R = e UT
Einfache Loga- Re = Ri
rithmierschaltung
U, = Uj Ri In (7e

lMr_J"' Prinzipschaltung
mit der Temperaturspannung
Uj - 25 mV
Ue

Logarithmier- Re=Rl
schaltung
R3 ^R Rl
verbesserte
Logarith-
mierschaltung
temperatur-
kompensiert,
fur positive
und negative
Eingangs-
spannung.

Ri De-
logarithmierschaltung
kExponentialverstarker] He ^^R
,, ,, Rl -^
^^=^"R2(1+y.)^"^
verbesserte De-
u. logarithmierschaltung
temperaturkompen-
siert, fur positive
und negative
Eingangsspannung

Bild 8-20. Zusammenstellung statisch beschalteter Operationsverstarker (Fortsetzung).


340 8 Analoge integrierte Schaltungen

starkung und der gleichen Laufzeit verarbei- Die offene Verstarkung VQ des Operationsver-
tet. Die Berechnung beriicksichtigt deshalb starkers sei groB, aber nicht oo. Deshalb gilt:
keine zeit- und frequenzabhangigen Zusam-
menhange.
In Bild 8-20 sind die einzelnen Beschaltungen U,= U, + I^R^ und
zusammengestellt, ihre Besonderheiten er-
wahnt, der Eingangswiderstand angegeben so-
wie die Ubertragungsfunktionen aufgestellt und Nach der Knotenregel ist die Summe der
graphisch veranschaulicht. Ausgehend vom Strome im Knoten null: /^ + /2 — /j = 0. Ge-
Schaltbild des Operationsverstarkers werden geniiber den Stromen durch die Widerstande
fur alle Schaltungen die Knoten- und Maschen- R^ und ^2 ist der Eingangsstrom /, des Opera-
gleichungen aufgestellt, vereinfacht und gelost. tionsverstarkers sehr klein. Man kann ihn in
Daraus laBt sich die Ubertragungsfunktion der Berechnung vernachlassigen, so daB mit
U^ = f{UJ errechnen, aus der sich die speziel- guter Naherung gilt /^ + /2 = 0.
len Anwendungen ergeben. Bei der Berechnung
der Schaltung sei von einem idealen Opera- Die Naherung /j = 0 sei bei der Berechnung
tionsverstarker ausgegangen. Deshalb sind von aller folgenden Schaltungen zugrundegelegt.
den in Tabelle 8-1 (Abschn. 8.1.1) dargestellten Fiir die Strome gilt:
Eigenschaften insbesondere folgende giiltig: I, = (U,~U,)/R, = (U,-UJv,yR,
- Die Eingangsstrome I^ des Verstarkers sind
null. l2-{U^-U,)IR, = {U,-UJv,)IR,
- Wegen der sehr groBen Verstarkung {v = oo) /l+/2 = 0
ist die Spannung Ui zwischen den Eingangen
des Verstarkers null. UJR, - UAvM + UJR, - UJ(v,R,) = 0

Die Eingangsspannung t/gbetragt:


8.3.1 Invertierender Spannungsverstarker
U,= UJvo+U,R,/voR2-U,R,/R2.
Bild 8-21 zeigt die Schaltung des invertieren- Bei realen Operationsverstarkern liegt die of-
den Spannungsverstarkers. Zur Verdeutlichung fene Verstarkung VQ zwischen 10"^ und 10^, die
sind die Versorgungsspannungen -\-U^ und erforderliche Eingangsspannung Ui = — U^/VQ
— U^ eingezeichnet, aus denen die Schaltung ist gegeniiber den iibrigen GroBen vernachlas-
gespeist wird. In den folgenden Schaltungen sei sigbar klein und kann bei der Berechnung ent-
der Ubersicht wegen darauf verzichtet. fallen. Die Naherung L/j = 0 wird bei der Be-
rechnung aller folgenden Schaltungen verwen-
det. Nach U^ aufgelost ergibt sich fiir die Uber-
tragungsfunktion

^2
(8-1)

Die Verstarkung v ist demnach

(8-2)

Es ist zu erkennen, daB die Eingangsspannung


l/g im Verhaltnis der Widerstande R2/R1 ver-
Bild 8-21. Invertierender Spannungsverstarker. groBert und mit invertiertem Vorzeichen am
8.3 Operationsverstarker mit statischer Beschaltung 341

Ausgang erscheint. Der Wert v ist unabhdngig


von der offenen Verstarkung VQ des Operations- 1 1
verstarkers, solange diese sehr groB gegeniiber
Ri
RJR, ist.
1
1 1
1 Ri
Der Eingangswiderstand R^ der Schaltung ist ^
^
1
1
1 (
1 '
R^=U^/I^= Ri^. Der Verstarker regelt die R*
Ausgangsspannung so, daB die Eingangsspan-
nung I/, stets null ist. Solange die Schaltung
linear arbeitet, hat der Knoten am invertieren- 1
>
1"
3
Us
'

den Eingang immer das Potential des nicht in- Bild 8-22. Berechnung des Innenwiderstandes Rf.
vertierenden Eingangs. Liegt der nicht invertie-
rende Eingang auf Nullpotential, dann stellt der Ausgangsstrombegrenzung noch nicht wirkt.
Knoten einen virtuellen Nullpunkt dar. Der
Die Naherung Rf = 0 wird bei der Berechnung
Eingangswiderstand R^ ist in diesem haufig vor-
aller folgenden Schaltungen verwendet.
kommenden Fall gleich dem Widerstand R^
Den invertierenden Spannungsverstarker kann
zwischen der Eingangsspannung und dem vir-
tuellen Nullpunkt: man auch aus einer Stromquelle speisen. Die
Ausgangsspannung betragt dann U^ = /^ i^2 •
Der Widerstand R^ geht in die Verstarkung
R^ = R^ (8-3) nicht ein und kann entfallen.

Beispiel 8.3.2 Nicht invertierender


8.3-1: Eine Spannungsquelle mit dem Innenwider- Spannungsverstarker
stand R^ = 500 Q liefert 200 mV Leerlaufspannung. Beim nicht invertierenden Spannungsverstar-
Sie soil mit einem invertierenden Spannungsverstar-
ker nach Bild 8-21 auf 10 V verstarkt werden. ker (Bild 8-23) wird die Ausgangsspannung U^
liber den Spannungsteiler aus R2 und R^ auf
Losung: den invertierenden Eingang zuruckgekoppelt.
Die geteilte Spannung U^a,n R^ ist gleich groB
Die erforderliche Verstarkung betragt: i; = 10 V/0,2 V wie die Eingangsspannung U^, so daB gilt
= 50. Um Einfliisse parasitarer Kapazitaten in Gren-
zen zu halten, soil der Ruckfiihrwiderstand R2 nicht Ri
groBer als 100 kQ sein. Nach Gl. (8-1) gilt i; = ^2/^1. u=u= u. R1 + R2
^1 = Ri/Vy ^2 = 2 kQ. Dieser Widerstand besteht aus
dem Vorwiderstand R{ und dem Innenwiderstand R^ der Wird die Gleichung fiir den Spannungsteiler
Spannungsquelle. Der noch benotigte Widerstand be- aus R^ und ^2 T^^ch U^ aufgelost, so entsteht die
tragt i?; = 2 kQ - 500 n = 1,5 kQ. Ubertragungsfunktion

Der Innenwiderstand des offenen Operations- fR. + JR.A


verstarkers sei R^. Er liegt, wie Bild 8-22 zeigt,
innerhalb des gegengekoppelten Verstarkers. (8-4)
Sein EinfluB wird durch die Beschaltung weit-
gehend ausgeregelt.
MaBgebend ist die offene Verstarkung VQ und oder
die durch die Beschaltung bedingte Verstar-
kung V = 1^2/^1 • Es laBt sich zeigen, daB der v = R2/Ri + l. (8-5)
Innenwiderstand Rf des beschalteten Verstar-
kers naherungsweise Rf = R^ V/VQ betragt. Mit Man erkennt, daB die Ein- und die Ausgangs-
R^ = 200 a, ^0 = 10^ und t; = 100 wird der spannung in Phase sind. Fiir i^2 = ^ sind die
wirksame dynamische Innenwiderstand Rf = Ausgangsspannung und die Eingangsspannung
0,2 Q. Diesen Wert kann man in den meisten gleich groB {U^ = UJ, d. h. die Verstarkung v
Fallen vernachlassigen. Er gilt, solange der Ver- ist 1. Eine kleinere Spannungsverstarkung als
starker im linearen Bereich arbeitet und die i; = 1 ist mit dieser Schaltung nicht moglich.
342 8 Analoge integrierte Schaltungen

I4 ^4

Bild 8-24. Schaltung des Subtrahierverstdrkers.

Bild 8-23. Nicht invertierender Spannungsverstdrker. Es gelten folgende zwei Maschengleichungen:


t/a=t/R4+t/„=l/R4+C^p, (1)
Beispiel R.
(2)
8.3-2: Die Aufgabe besteht darin, die Spannung aus R1+R3
dem Beispiel 8.3-1 mit einem nicht invertierenden Fur die Spannung U^^, am Widerstand R^, gilt:
Verstarker nach Bild 8-23 zu verstarken.

Losung:
[/R4 - / 4 /?4 = R. (3)
RO+RA
Da diese Schaltung einen sehr hohen Eingangswider- Wird Gl. (2) und Gl. (3) in Gl. (1) eingesetzt, so
stand hat, braucht man den Innenwiderstand R^ ergibt sich
der Quelle nicht zu berucksichtigen. R2 wird we-
gen parasitarer Kapazitaten auf 100 kQ festgelegt. R.
Mit 1; = 1^2/^1 + 1 (Gl.(8-5)) gilt R^=R2l{v-\\ U=- •-R^+U,,
R2 + R. R1 + R3
/?! = 100 kQ/49 = 2,04 kQ.
Nach U^ aufgelost ergibt sich die Ubertra-
1st R2 = 0, so wird auch R^ iiberflussig, und gungsfunktion zu
man erhalt eine Schaltung, welche die Bezeich-
nung Impedanzwandler oder Elektrometerver- (8-6)
stdrker hat. Der Eingangswiderstand R^ dieser ^'-^''RAR,+Rs) ''R2
Schaltung ist sehr groB, da die Signalquelle nur R^iR,/R^ + l)R^ RA
den sehr kleinen Eingangsstrom des Opera- = t/a U.
tionsverstarkers aufbringen mu6. Die Span- RARJR3 + i)R3 R.
nung am Verstarkereingang ist aber durch die
Gegenkopplung viel kleiner als die Signal- Fiir den Fall, daB die Widerstandsverhaltnisse
spannung. Der Eingangswiderstand dieser RJR^ = RZ/RA sind, lautet die tJbertragungs-
Schaltung ist R^ = R^Q V. Dabei ist R^Q der Ein- gleichung
gangswiderstand des unbeschalteten Opera-
tionsverstarkers. Der Ausgangswiderstand R^
ist entsprechend der Oberlegung in Abschn. R2
(8-7)
8.3.1 sehr klein.
J<1

8.3.3 Subtrahierverstarker
Aus Gl. (8-7) ist ersichthch, daB nur die Diffe-
Bild 8-24 zeigt eine Subtraktionsschaltung fur renz der Eingangsspannungen U^^ — U^2 S^"
zwei Eingangsspannungen U^^ und 1/^2- ^^^ messen wird, wenn das Verhaltnis der Wider-
Schaltung besteht aus einem invertierenden stande am invertierenden und nicht invertieren-
Operationsverstarker, dem eine zweite Ein- den Eingang gleich ist.
gangsspannung U^^ uber einen Spannungsteiler Die Subtraktionsschaltung fmdet in der Praxis
an den nicht invertierenden Eingang zugefuhrt oft als Bruckenverstdrker, fur Strom-Span-
wird. nungs-Wandler Verwendung. Dabei wird nur
8.3 Operationsverstarker mit statischer Beschaltung 343

die Differenz zweier Spannungen verstarkt, nur drei Operationsverstarker, deren Tempera-
nicht aber eine gemeinsame unerwiinschte tur- und Eingangsspannungsfehler (offset) sich
Spannung, die ihnen uberlagert ist. weitgehend kompensieren, sondern auch hoch-
genaue Widerstande in der Beschaltung. Der
Eingangwiderstand, die Gleichtaktunterdriik-
kung und die Genauigkeit der Verstarkung sind
meistens besser, als dies bei nachtragUcher Be-
schaltung zu erreichen ist, und der Eingangs-
widerstand ist in jedem Fall hoher als in der
Schaltung nach Bild 8-24.
Mit der Subtraktionsschaltung laBt sich, wie
Bild 8-26 zeigt, die Diagonalspannung in einer
Wheatstoneschen Briicke (Abschn. 1.3.3.4) ver-
starken. Die Bruckenwiderstande konnen aus

Bild 8-25. Instrumentenverstdrker.

Instrumentenverstarker
Die in Bild 8-25 gezeigte Schaltung eines Mefi-
verstdrkers ist dann zu wahlen, wenn eine einfa-
che Subtraktionsschaltung hinsichtlich des ho-
hen Eingangswiderstandes, der hohen Gleich-
taktunterdriickung und der geringen Drift
nicht geniigt. Es gilt folgende Maschenglei-
chung:
^ a l - ^ a 2 = /(2i^l+i^2)- (a) Bild 8-26. Bruckenverstdrker.
Weil der Operationsverstarker als ideal be-
trachtet wird, gilt fur die Beziehung zwischen ohmschen Widerstanden, aber auch aus tempe-
dem Strom / und den Eingangsspannungen raturabhangigen (NTC, PTC), aus lichtemp-
fmdhchen Bauelementen wie Fotodioden und
Fotowiderstanden oder aus magnetfeldabhan-
/= (b)
gigen Widerstanden wie Feldplatten bestehen
(Bild 2-9). Die Schaltung eignet sich auch fur
Der rechte Teil des Bildes 8-25 ist die Subtrak-
eine Wechselspannung mit Kondensatoren C
tionsschaltung nach Bild 8-24, so daB man fur
oder Induktivitaten L in der Briicke, sofern die
Gl. (8-7) schreiben kann
Verstarkung bei der verwendeten Frequenz /
ausreichend hoch ist. Dadurch ergeben sich
^a = f^(t/al-^a2)=^al-^a2. vielfaltige Einsatzgebiete in der MeB-, Regel-
und Steuertechnik.
Unter Berucksichtigung der Gl. (a) und (b) er-
gibt sich die Ubertragungsgleichung Die Briickenspannung U^ ist frei wahlbar. So
kann es eine fremde Spannung sein, oder aber
auch die positive, negative oder die gesamte
t/a = (t/el -u.
2R^^
4-
\
1+R2\
•"•2 /
(8-8)
Spannung des Operationsverstarkers. Verwen-
det man fiir die Briicke und den Operationsver-
starker dieselben Widerstande, dann gelangt
-{- man zur Schaltung nach Bild 8-27.
Setzt man in Gl. (8-6) die Beziehungen R^ = R3,
Instrumentenverstarker gibt es fertig in einem R4. = i^2 (1 + ^) ^^^ ^ e l = ^ e 2 = ^ B ^^^^ SO Cr-
Gehause eingebaut. Die Schaltung enthalt nicht gibt sich fiir die Ubertragungsgleichung
344 8 Analoge integrierte Schaltungen

R4 = R2 (1 + a) schalter eignet. Im Gegensatz zu den meisten


Schaltungen mit Operationsverstarkern wird
das Ausgangssignal auf den nicht invertieren-
den Eingang zuruckgefiihrt. Statt der iibUchen
Gegenkopplung entsteht eine Mitkopplung. Die
Schaltung hat keine stabile analoge Ausgangs-
spannung; sie kann nur die positive oder nega-
tive Sattigungsspannung des Operationsver-
starkers abgeben. Demnach gilt fiir die Diffe-
renzspannung: 11^^=11^—11^.
Fiir den Operationsverstarker gilt U^ =
— vUj). 1st die Spannungsverstarkung v groBer
als die Abschwachung a durch die Riickkopp-
Bild8-27. Schaltung eines Bruckenverstarkers bei lung, mit a = i^2/^i? dann ist die Ausgangs-
gleichen Widerstdnden fur Briicke und Operationsver- spannung die positive oder negative Sattigungs-
stdrker. spannung (C/+satt? ^-satt) ^ss Operationsver-
starkers. Stabile Zwischenwerte gibt es nicht.
Bild 8-29 zeigt die Verhaltnisse.

Ausgang ^ a

. '//^ + salt.

8.3.4 Schmitt-Trigger
]1

Wird ein Vorgang zu einem genau bestimmten Ue

Zeitpunkt durch ein Steuersignal (z.B. einen Ue2 ,u,,


1
Eingang
Impuls) ausgelost, dann spricht man von einer
Triggerung (trigger: engl.: Ausloser). Eine Trig-
gerschaltung erzeugt eine Ausgangsspannung U «iitt

mit steilen Flanken, sobald die Eingangsspan-


nung einen bestimmten Pegel erreicht hat. Die
Eingangsspannung kann sich dabei beliebig Bild 8-29. Eingangs- und Ausgangsspannung beim
langsam verandern. nicht invertierenden Schmitt-Trigger.
Diese Flanke wird meistens in digitalen Schal-
tungen weiterverarbeitet. Das Steuersignal Bei einer groBen positiven Eingangsspannung
kann einmalig, periodisch oder regellos kom- t/. > U^, wird U^ = U, Am nicht invertie-
men. renden Eingang des Verstarkers liegt dann die
Bild 8-28 zeigt einen nicht invertierenden Spannung
Schmitt-Trigger, der sich gut als Schwellwert-
t/„ >0.

Wird L/g verkleinert, dann andert sich U^ zu-


nachst gar nicht, well der Verstarker dank sei-
ner groBen Verstarkung in der Sattigung bleibt.
Erreicht U^ den negativen Wert U^2^ so ist
t/o = 0. Wird Ujy=Up- U^ geringfugig nega-
tiv, so springt der Verstarker von U+^^^^ auf
Von [/_satt ii^ch L^+satt andert sich der
Ausgang erst wieder bei U ,1 mit C/p > 0. Der
Verstarker besitzt eine Hysterese 1/^^ • ^^i einer
Bild8-28. Schmitt-Trigger, nicht invertierend. sinusformigen Spannung U^ = U^ sin (co t) er-
8.3 Operationsverstarker mit statischer Beschaltung 345

gibt sich ein Verlauf von U^ nach Bild 8-30 (rot a) Schaltung
eingezeichnet).
+ U,
i
u,s

^—N. L/e
Ue

i
M b) Spannungsverhaltnisse
[Ue
Ua2 L/al

El.. ^Ref1

\y
Bild 8-30. Eingangsspannung U^und Ausgangsspan-
nung UQ beim Schmitt-Trigger. //
»-'Ref 2
yf
/
7' - \
\ .

Fiir die Umschaltpunkte gilt

t/el=-§^f/-sat.t/el>0;
Bild8-31. Schmitt-Trigger mit einstellbarer Schwelle.
(8-10)

Beispiel
8.3-3: Ein Schmitt-Trigger erhalt eine sinusformige
Bei symmetrischen Operationsverstarkern ist Spannung mit 100 mV^^, der hoherfrequente Storun-
^+satt = -^-satt=^satf F^r die Schalthyste- gen bis zu 20mVgs uberlagert sind. Storungen, die
rese gilt dann kleiner als 24 mV sind, durfen in der Nahe des Um-
schaltpunktes keine Schaltvorgange auslosen. Der
_R^ R^ Schmitt-Trigger nach Bild 8-28 gibt am Ausgang
^ e h — ^ e l ~ ^ e 2 ~ ~^ ^ - s a t t ~ " ^ ^ + s a t t ±12Vab.
^2 Ro
D
~ ~^ V^-satt ~ ^ + satt) 5 (8-11) Losung:
^2
Nach Gl. (8-11) ist die Hysterese U^y, am Eingang:
Uei, = 2R,U,J{R,-\-R2). Mit R2>R, gih nahe-
^2 rungsweise: C/.^ = t/sau ^RJRi. R2 = 2R,'U,,J

SchlieBt man den invertierenden Eingang nicht Um die Quelle moghchst wenig zu beeinflussen, wird
an 0 V, sondern an eine Referenzspannung an R^ auf 2,2 kQ festgelegt. R2 = 2- 2,2 kQ • 12 V/24 mV
(Bild 8-31 a), dann laBt sich der Nullpunkt der = 2,2 MQ. Die Bedingung RjP R^ ist somit erfiillt.
Hystereseschleife entlang der Eingangsspan-
nung U^ um den Wert von U^^f verschieben
(Bild 8-31 b). 8.3.5 Nichtlinearer Verstarker
Wenn man den Schmitt-Trigger mit einem Mitunter fmdet zur Korrektur der nichtUnearen
normalen Operationsverstarker aufbaut, dann Kennlinie einer elektronischen Schaltung oder
schaltet der Ausgang nicht sofort beim Errei- eines anderen Anlagenteiles eine Verstarker-
chen der Umschaltbedingung (Gl. (8-11)) um. schaltung mit definierter nichthnearer Ubertra-
Das groBe Eingangssignal libersteuert die ein- gungskennhnie Verwendung. Sie laBt sich mit
zelnen Stufen und der Verstarker benotigt ei- einem Operationsverstarker mit nichtlinearer
nige Mikrosekunden Erholungszeit, um seine Ruckfuhrung verwirkhchen (Bild 8-32). Hierzu
analoge Funktionsweise zu erhalten. kann man ein Bauteil mit einer nichthnearen
346 8 Analoge integrierte Schaltungen

a) Schaltung a) Schaltung
VDR Un
J1
R2 • d h
Ri
1
1
,
*
G4
¥
Z4
^
ff
<1
\ > ^ 1 /R?

b) Ubertragungskennlinien
Ue

Kennliniedes b) Kennlinien
Verstarkers

Kennlinie
des VDR

a u

Bild8-32. Nichtlinearer Verstdrker mit VDR.


Spannungs-Strom-Kennlinie, beispielsweise ei-
nen spannungsabhangigen Widerstand (VDR)
oder ein entsprechendes Netzwerk aus Wider-
standen, Dioden und Zenerdioden verwenden.
Gilt fur den Riickfuhrwiderstand die Kennlinie
nach Bild 8-32 b (schwarz), dann gilt fur den Bild 8-33. Nichtlinearer Verstdrker mit zusammenge-
ganzen Verstarker die an der 45°-Linie gespie- setztem RUckfUhrnetzwerk.
gelte Kennlinie (rot). Das Diagramm zeigt di-
rekt den Strom / in den Sternpunkt und im erste Geradenstuck gibt den Leitwert 62 = I/U
Widerstand R^. Da die Summe aller Strome im an. Beim ersten Knickpunkt wird die Diode Z3
Sternpunkt und der Eingangsstrom des Opera- leitend; die Steigung entspricht jetzt dem Leit-
tionsverstarkers null ist, ist der Strom in R^ wert G2 + G3. Bei der Spannung 1/^4 beginnt
genauso groB wie der Riickstrom /. Steht kein die zweite Z-Diode Z4 zu leiten. Die Summe der
spannungsabhangiger Widerstand mit einer Leitwerte G2 + G3 + G4 bestimmt die Steigung
passenden Kennlinie zur Verfiigung, dann kann des dritten Abschnitts. Auf diese Art und Weise
man diesen aus Widerstanden, Dioden und wird die ganze Kurve angenahert. In der Praxis
Z-Dioden zusammensetzen. Bild 8-33 zeigt soUte die Naherungskurve aus nicht mehr als
die Kennlinie des Widerstands-Z-Dioden-Netz- drei bis vier Segmenten bestehen, sonst konnen
werks. die Toleranzen der Z-Dioden einen groBeren
Die Dimensionierung geschieht folgender- Fehler verursachen, als die Differenz zwischen
maBen: Die benotigte, berechnete oder gemes- der Funktion G^ und dem Polygonzug.
sene, Ubertragungsfunktion f^ = U^/U^ (rot Z-Dioden mit kleiner Spannung haben beim
gestrichelt) wird an der ersten Winkelhalbieren- tjbergang vom Sperrbereich in den Durch-
den zur Funktion g^ (rot) gespiegelt und durch bruchbereich keinen scharfen Knick; die Knick-
einen Polygonzug (schwarz) angenahert. Das punkte der Naherungsfunktion werden abge-
8.3 Operationsverstarker mit statischer Beschaltung 347

rundet, und die Annaherung wird besser. Die Ri Ro


1 1 1fe 1
Exemplarstreuung der Dioden und ihre Tempe- 1 '
' I
raturabhangigkeit beeinflussen die Lage der R2
1 1 i
Knickpunkte. Deshalb sollte man die Grenz- \ \ *>
kurven mit den groBten und kleinsten Einzel-
Rn
spannungswerten berechnen. Liegen die Knick-
punkte sehr dicht beieinander, so fmden auch
normale Schaltdioden Verwendung, deren
kleine Spannung sich jedoch mit der Sperr-
Ue2
Uen
1
\
i
t
i

h>^
\ > > Ja
schichttemperatur stark andert. Wird R^ mit
dem VDR oder dem Netzwerk vertauscht, dann (»
1
entsteht die an der Winkelhalbierenden im Bild 8-34. Addierender Verstarker mit invertierender
ersten Quadranten gespiegelte Kurve (Bild Beschaltung.
8-33 b).
Wie diese Gleichung zeigt, werden die Ein-
Beispiel gangsspannungen zuerst addiert, dann ver-
8.3-4: Ein nichtlinearer Verstarker soil Eingangsspan- starkt und anschlieBend invertiert.
nungen u^ < 0,5 V um den Faktor u^ = 10, w^ > 0,5 V Diese Schaltung findet haufig Verwendung. Sie
um den Faktor V2 = l verstarken. Hierzu eignet sich
gestattet die Addition unterschiedlicher Span-
die Schaltung nach Bild 8-33 a.
nungen mit gleichem oder verschiedenem Ska-
Losung: lenfaktor nach Gl. (8-12). Die Eingangsspan-
Festlegung: Bei w^ = 5 V soil im Leitwert G2 nicht nungen wirken uber die Widerstande R^ bis R^
mehr als 0,5 mA Strom flieBen. G2 sei deshalb auf den Knoten am invertierenden Eingang. Im
auf 100 |iS festgelegt. v = -GJG2, G^ = \v^\G2, linearen Bereich des Operationsverstarkers ist
Gi = 1 mS. Steigt die Ausgangsspannung uber seine Eingangsspannung null, vom Eingang her
Wg • 1;^ = 0,5 • 10 = 5 V an, dann soil die Verstarkung scheint der Knoten am invertierenden Eingang
nur noch V2 — l sein. Dazu muB die Z-Diode Z3 die mit Masse verbunden zu sein. Deshalb beein-
Spannung 11^^ = 5 Y haben. Der nachste Normwert
flussen sich die verschiedenen Eingangsspan-
ist: 11^^ = 5,1 V. Der neue Leitwert wird: G2 + G3
= GJv2, G3 = GJv2 - G2, G3 = 41 ^iS. Im Ergeb- nungen nicht, und die Eingange sind rUckwir-
nis werden die Widerstandswerte angegeben. R2 kungsfrei. Der Eingangswiderstand ist der
wurde zu 10 kQ festgelegt, R^ = 1 kQ, R^ = 25 kQ, jeweiUge Widerstand zwischen Eingang und
Uy : 5,1 V. Knoten.

8.3.6 Addierender Verstarker, invertierend 8.3.7 Addierender Verstarker,


Bild 8-34 zeigt einen addierenden Verstarker nicht invertierend
mit invertierender Beschaltung. Ein addierender Verstarker in nicht invertieren-
Nach der Knotenregel gilt der Schaltung ist in Bild 8-35 dargestellt.
•V.
R^ R2 R. Ra
Wird nach U^ aufgelost, so erhalt man fur die
Ubertragungsgleichung

^•=-(^^lf--^)- (8-12)

Sind die Widerstande gleich, d. h. ^1 = ^2


= ... = R^, so ergibt sich

(8-13) Bild 8-35. Addierender Verstarker mit nicht invertie-


render Beschaltung.
348 8 Analoge integrierte Schaltungen

Fur den Knoten 1 gilt stante Strom im Ruckfuhrwiderstand eines in-


vertierenden Oder nicht invertierenden Opera-
tionsverstarkers. Er regelt den Strom in der
^3.1 ^3.2 Ruckfuhrung stets so, daB sich die Eingangs-
t/.„ - t/« und die Ruckfuhrstrome zu null erganzen. Die-
= 0.
+- R 3.n
ser Strom ist auf den Knoten am Eingang des
Operationsverstarkers bezogen und deshalb
Die Knotenregel im Punkt 2 ergibt nur bedingt brauchbar.
In Bild 8-36 ist eine Schaltung dargestellt, in der
die Spannungsquelle und der Verbraucher geer-
R2 Ri
det werden konnen.
Aufgelost nach U^ erhalt man
Ri
L. r 1
1
y. J *^ '

Da bei einem idealen Operationsverstarker


U^ = U^ ist, gilt wenn alle R^^ gleich sind

t>^
R5
h
r'
^3
R2 R4 u:
R, f 1 1 1 i 1 1^ I
' •
1
' 1 ^
^1 + ^2 \^3 ^3 ^3 y \
Oder (»
Bild 8-36. Konstantstromquelle mit geerdetem Ein-
Ri gang und geerdetem Ausgang.
^ e l + ^e2 + ..- + ^ e n = ^ a (n).

Fiir die Ubertragungsgleichung ergibt sich Der Operationsverstarker liefert den erforder-
dann lichen Strom uber den Widerstand K5 an den
Verbraucher. Man miBt den Spannungsabfall
U. = ^k±^ (U,^ + C/,2 + ... + t/e„) . an R5 mit der Differenzverstarkerschaltung
n^i Bild 8-24. Hierzu werden jeweils die Spannun-
(8-14) gen vor und nach dem Widerstand R^ geteilt
und mit dem Operationsverstarker vergUchen.
Beim nicht invertierenden addierenden Verstar- Aus Symmetriegriinden gilt: RJR^ = RJRAT-
ker fuhrt der Knoten 1 die addierte aber noch
nicht verstarkte Signalspannung, die uber die Ist die Eingangsspannung U^ = 0, dann ist die
Eingangswiderstande auf die Signalquelle zu- Brucke abgeglichen, wenn die Spannung an K5
riickwirkt. Dadurch beeinflussen sich auch die null ist, so daB kein Strom flieBt. Eine positive
Eingangsspannungen gegenseitig, sofern die Eingangsspannung an U^ erhoht die Spannung
Quellen nicht sehr niederohmig sind. Die Schal- L/p, der Operationsverstarker regelt U* so, daB
tung wendet man deshalb nur an, um Opera- l/p = L/„ ist. An R^ fallt jetzt die im Verhaltnis
tionsverstarker einzusparen. RJR^ geteilte Eingangsspannung U^ ab. Dar-
aus laBt sich der Zusammenhang zwischen Ein-
gangsspannung U^ und dem Ausgangsstrom
8.3.8 Konstantstromquellen leicht berechnen:
Besonders in der MeBtechnik, aber auch bei
R^
elektronischen Schaltungen sind konstante h = U^ (8-15)
Stromquellen erforderhch, deren Ausgangs- ^2^5
strom - in bestimmten Grenzen - unabhangig
vom Lastwiderstand ist. Der Ausgangsstrom I^ Durch den Widerstand R^ flieBt auBer dem zu
hangt nicht von der abgegebenen Spannung der messenden Ausgangsstrom auch der Strom in
Quelle ab. Im einfachsten Fall flieBt der kon- den Spannungsteiler aus R^ und R^. Dieser
8.3 Operationsverstarker mit statischer Beschaltung 349

Strom ist der abgegebenen Spannung U^


proportional und er verringert den Innen- a) Schaltung
widerstand der Stromquelle auf den Wert /, R. R2
Ri = R^ -\- R^. Soil ein sehr kleiner oder ein ge- ^-CZ2 1»
nauer Strom aus der Quelle flieBen, dann kann
der Innenwiderstand nicht mehr vernachlassigt
werden. Der Teller aus R^ und JR3 muB hoch-
ohmig sein, und es ist ein Operationsverstarker
^ i^2
mit entsprechend kleinem Eingangsstrom (In-
put Bias Current) zu verwenden.

^ 1
Beispiel b) wichtige Spannungen
8.3-5: Fiir die Pin-Diode in einem analogen Hochfre- i \
quenzabschwacher ist ein Steuerstrom zwischen
10 1^A und 10 mA erforderlich, der durch eine Span-
nung zwischen 0 V und 1 V gesteuert wird. Die Pin-
Diode benotigt einschlieBlich der Entkopplungsele-
mente hochstens 1,5 V. t

Losung: -Uo \ X-
Festlegungen: Es wird die Stromquelle nach Bild 8-36
benutzt, damit fiir den Differenzverstarker eine groBe

10 mA Strom die Spannung 8 V abfallen sollte. Bild 8-37. Idealer Einweg-Gleichrichter.


i?5 hat deshalb 800 Q. Aus Gl. (8-15) laBt sich das
Verhaltnis R2IR4. ermitteln, wenn man den groB-
ten Strom und die groBte Spannung einsetzt. R2
4 = (UJR,) • iR2lRd^ RilR^ = RJR, = 4^s/t^e, (8-16)
R^ '
i?2/^4 = 10 mA • 800 Q/1 V = 8. i^i = 8 7^3. Der MeB-
strom /meB, der durch R^ und R^^flieBt,verursacht in
K5 einen Spannungsabfall, der wie ein Nutzstrom 7^ Wird die Eingangsspannung u^ positiv (w^ > 0),
gemessen wird; er soil 10% des kleinsten Ausgangs- dann leitet die Diode D2, der Gegenkopplungs-
stroms nicht iiberschreiten. 1^, = ^0 |aA, I^^Q = 1 |iA. strom flieBt durch D2 direkt in den Knoten am
Der Spannungsabfall an R^ und R^ betragt invertierenden Eingang, die Diode D^ leitet da-
1,5 V - 1 V = 0,5 V, die Summe der Widerstande gegen nicht. Deshalb kann auch kein Strom
wird: R^ + R^ = 0,5 V/1 |aA = 500 kQ. AuBerdem durch den Widerstand 7^2 AieBen, so daB fur
gilt 7^1 = 8 7^3, Ki+7^3 = 97^3 = 500 kQ. Es wird diesen Fall die Ausgangsspannung u^ gleich null
7^3 = R^ = 55,6 kQ und R^=R^= 444,4 kQ.
ist (Gleichrichtung).

8.3.9 Idealer Einweggleichrichter 8.3.10 Zweiweggleichrichter


Bei der Gleichrichtung kleiner Spannungen ohne gemeinsames Potential
stort die DurchlaBspannung der Dioden D^ In Bild 8-38 wird der Riickfuhrwiderstand der
und D2 so wie deren Temperaturabhangigkeit. Schaltung des invertierenden Spannungsver-
Diese Einfliisse kann man mit einer Schaltung starkers durch einen Verbraucher, beispiels-
nach Bild 8-37 ausschalten. weise ein MeBinstrument, mit vorgeschaltetem
Ist die Eingangsspannung u^ negativ {u^ < 0), so Briickengleichrichter ersetzt. Der Gegenkopp-
leitet die Diode D^ und die Diode D2 ist ge- lungsstrom flieBt uber den Gleichrichter durch
sperrt (Schaltung wie ein invertierender Ver- den Verbraucher. Da der Operationsverstarker
starker). Da die Ausgangsspannung u^ am Kno- den Strom in 7^2 unabhangig von der benotig-
ten von 7^2 ^ ^ ^ ^1 abgenommen wird, ist nur ten Spannung regelt, wird der Spannungsabfall
der Spannungsabfall an 7^2 niaBgebend, die der Dioden ehminiert. Der Strom in der Riick-
DurchlaBspannung der Diode D^ spielt keine fuhrung wird nur durch die Eingangsspan-
RoUe. Fiir u^<0 gilt daher nung l/g und den Widerstand 7^^ bestimmt:
350 8 Analoge integrierte Schaltungen

Losung:
Es wird der Zweiweg-Prazisionsgleichrichter nach
Bild 8-38 benutzt. Der Strom im MeBwerk ist gleich
dem Betrag des Stroms | / J in R^. Bei sinusformiger
Wechselspannung betragt der arithmetische Mittel^
wert des Stroms I^ = (u/R^) • n/4. mit u = U^ff • ^2
wird: R^ 1,11 • l/eff//meB, i?i = 1,11 ' 1 V/0,1 m A
= ll,lkQ.

8.3.11 Zweiweggleichrichter
mit gemeinsamem Potential
Der Zweiweggleichrichter liefert bei gleicher Si-
gnalgroBe eine doppelt so hohe Ausgangsspan-
nung wie der Einweggleichrichter. Liegt eine
unsymmetrische Wechselspannung vor, bei der
die positive und die negative Halbwelle ver-
b) Spannungen und Strome schieden sind, wird das Signal verfalscht, wenn
man nur eine Halbwelle auswertet. Haufig ist
eine Schaltung gefordert, die beide Halbwellen
auswertet und deren Ausgangssignah auf Mas-
sepotential bezogen ist. Die in Bild 8-39 abge-
bildete Schaltung besteht aus einer Einweg-
gleichrichterschaltung nach Bild 8-37 und einer
Additionsschaltung nach Bild 8-34, die das Aus-

a) Schaltung
2R, R2

b) Spannungen in der Schaltung

Bild 8-38. Zweiweg-Gleichrichter ohne gemeinsames


Potential.
ii = U^/R^. Der Ausgangsstrom i^ ist genauso
groB wie der Betrag des Eingangsstroms z\,
weshalb gilt I'a = h i I-
Diese Schaltung setzt man ein, um den arithme-
tischen Mittelwert einer Wechselspannung zu
messen. Sie funktioniert nur bei einem poten-
tialfreien Verbraucher.

Beispiel
8.3-6: Ein Vielfachinstrument mit DrehspulmeBwerk
soil die gleiche linear geteilte Skala fur Gleich- und
Wechselspannung haben. Das MeBwerk hat 100 jiA
Vollausschlag, der bei der Eingangsspannung Bild 8-39. Zweiweg-Gleichrichter mit gemeinsamem
I/, = 1 V erreicht werden soil. Eingangs- und Ausgangspotential.
8.3 Operationsverstarker mit statischer Beschaltung 351

gangssignal des Einweggleichrichters mit dem Einzelfall beachten und nachprufen. Sie muB so
ganzen und das Wechselspannungssignal mit klein sein, daB der Kondensator bis zum nach-
dem halben Skalenfaktor addiert (Bild 8-39 b). sten kleineren Spitzenwert, der erfaBt werden
Das Verhaltnis ^^2/^1 bestimmt die Verstar- soil, weitgehend entladen ist. Findet ein Opera-
kung der Schaltung. Es gilt fiir die Ausgangs- tionsverstarker Verwendung, dessen Eingange
spannung einen kleinen Strom abgeben, dann muB dieser
vom Lastwiderstand aufgenommen werden, da
er sonst den Kondensator auf den Maximalwert
aufladt.

8.3.13 Logarithmierschaltung
8.3.12 Spitzenwertgleichrichter Viele Gesetze in der Physik werden durch loga-
Zur Auswertung von periodischen oder nicht rithmische Zusammenhange beschrieben. Aus
periodischen Wechselspannungen ist neben diesem Grunde sind Schaltungen erforderUch,
dem arithmetischen Mittelwert oft auch der deren Ausgangsspannung U^ proportional zum
Spitzenwert von Interesse. Mit der Schaltung Logarithmus der Eingangsspannung U^ (bzw.
nach Bild 8-40 kann man ihn messen und spei- des Eingangsstromes 7^) ist. Auch zum Darstel-
chern. len eines groBen Dynamikbereichs und fiir ana-
loge Rechenschaltungen werden Logarithmie-
rer eingesetzt. Bild 8-41 a zeigt das Prinzip einer
solchen Schaltung. In Bild 8-41 b sind logarith-
mische Bauelemente aufgefuhrt (im wesent-
hchen nichtUneare Widerstande, Dioden und
Transistoren).

Nichtlinearer Widerstand
Fiir einen nichthnearen Widerstand gilt die Be-
ziehung

Dabei ist 120 der Strom, der im Widerstand


flieBt, wenn die Spannung U20 angelegt wird.
Als Knotengleichung gilt
/,- /2=0 Oder
Spitzenwert-Gleichrichter.
-120 e"^'"^" = 0 .
Wenn die Eingangsspannung u^ ansteigt, wird
der Kondensator C aufgeladen. Der Ladevor-
gang endet, wenn die Spannung nicht weiter
u,= - U, ist, ergibt sich
ansteigt. Der Kondensator halt jetzt den Spit- u.- = e-'''JU20
zenwert seiner Spannung, weil die Diode in ho ^1
Sperrichtung geschaltet ist und eine Entladung
iiber den Operationsverstarker verhindert. Die Durch Logarithmieren erhalt man die Ubertra-
im Kondensator gespeicherte Ladung erzeugt gungsgleichung
eine Ausgangsspannung M^, die dem Spitzen-
wert der Eingangsspannung u^ entspricht.
Mit dieser Schaltung kann man auch eine
schnelle Zunahme des Spitzenwerts verfolgen.
Der Kondensator wird iiber den Eingangswi-
derstand der nachfolgenden Schaltung entla- Diode und Transistor
den; die Zeitkonstante T = RC muB man im Wird statt des Stroms I2 der Diodenstrom
352 8 Analoge integrierte Schaltungen

a) Schaltung /D^^/SC^^/^^ und


(8-19)
LOG

Fiir eine Schaltung mit Transistoren gilt

-L u.- -Mjr)- (8-20)

+ Wahrend die Beziehung fiir den Diodenstrom


/D oft nur iiber zwei Dekaden mit hinreichender
Genauigkeit gilt, stehen bei den Transistoren
bis zu neun Dekaden zur Verfiigung. Bei inte-
grierten Logarithmierschaltungen verwendet
b)logarithmische Bauteile man aus diesem Grunde ausschlieBHch Transi-
storen.
LOG
Da die Kennwerte der Transistoren nicht kon-
stant sind (Abschn. 3.1.1), sondern von Transi-
stor zu Transistor streuen, benutzt man zwei
— i i t _
'2 — '2 0 ® ^20
Logarithmierschaltungen, die mit einem Signal
und mit einer ReferenzgroBe gespeist werden.
Fiir beide Logarithmierer verwendet man einen
Doppeltransistor, der zwei Transistoren mit
/D = /s e Uj gleichen Eigenschaften und der gleichen Tempe-
^ ^ ratur enthalt.
Die Ausgangssignale dieser Schaltungen wer-
den in einem nachfolgenden Differenzverstar-
ker subtrahiert, wobei sich die StorgroBen auf-
\j heben und der Quotient aus Signal- und
ReferenzgroBe ubrig bleibt. Der exemplarab-
hangige Transistorkennwert P IQ fallt dabei
heraus, wenn er bei beiden Transistoren gleich
Bild 8-41. Logarithmierer. ist. Bild 8-42 zeigt die zugehorige Schaltung.
Fiir den oberen Teil der Schaltung gilt
I^ bzw. der Kollektorstrom eines Transistors U^
betrachtet, so ergeben sich ahnliche Glei- U, = -Uj In (a)
chungen. Fiir den Diodenstrom /D gilt: RiPio.
I^ = i^ (e^D/^T_ ]^^^ und fur den Kollektorstrom

Dabei ist U^ die Temperaturspannung, die sich


aus Uj = {kT)/e berechnet {k: Boltzmannkon-
stante = 1,38 • 10"^^ Ws/K; T: absolute Tempera-
tur in K; e: Elementarladung = 1,6 • 10"^^ As).
Bei Zimmertemperatur (T = 293 K) wird die
Temperaturspannung Uj ^ 26 mV. Die Transi-
storgroBen sind die Stromverstarkung j? und
ein dem Transistor eigener Kollektor-Basis-
Reststrom IQ (Abschn. 3.1.3).
Bei Betrieb in DurchlaBrichtung gilt L/D > Uj
bzw. L/flE ^ ^T- Damit ergeben sich fur den
Diodenstrom /D bzw. den Kollektorstrom I^ Bild 8-42. Logarithmierschaltung mit gepaarten
naherungsweise folgende Gleichungen: Transistoren.
8.3 Operationsverstarker mit statischer Beschaltung 353

und fur den unteren Teil Die Gleichung der Schaltung nach Bild 8-42
C/p
zeigt, daB der EinfluB der Kennwerte ^IQ der
U^ = -Uj In (b). Transistoren verschwunden ist. Bild 8-43 zeigt
den Zusammenhang grafisch.
Als Ausgangsspannung U^ liegt die um das Wi- Der Aufbau einer Logarithmierschaltung aus
derstandsverhaltnis RJR^ vergroBerte Diffe- Operationsverstarkern und diskreten Bauele-
renz der beiden Spannungen U^ — (7R an. Somit menten setzt gute Kenntnisse der Halbleiter-
gilt . . . , , . . ^ schaltungstechnik voraus. Der Einsatz eines
U.= Uj In In integrierten Logarithmierers ist wesentlich ein-
R. RiPh. facher.

n=^J'^^^^
R. u^Ri
(8-21) 8.3.14 Delogarithmierschaltung
(Exponentialverstarker)
Vertauscht man in den Schaltungen in Bild 8-41
a) lineare Darstellung und Bild 8-42 den Widerstand und den Transi-
stor, d. h. liegt am Eingang des Operationsver-
starkers der Transistor und im Riickfiihrkreis
der Widerstand, dann werden die Spannungen
exponentiell verstarkt. In Rechenschaltungen
2
logarithmiert man oft zum einfacheren Rechnen
:i>>
(beim Multiplizierer wird logarithmisch ad-
diert). AnschlieBend delogarithmiert man das
0 Ergebnis wieder durch Anwendung der Expo-
nentialfunktion. Dazu dient die Schaltung nach
Bild 8-44.
2

1 1 1

1 ^
20 40 60 80 100
Eingangsspannung UJm\/ —
u,<o U,>0
b) einfach logarithmische Darstellung y
( 1 > (

Bild 8-44. Delogarithmierschaltung (Exponential-


verstarker) .

2 Nach der Knotenregel gilt - / C + /RI =0. Es ist


:3> J^=.PI^QU^^/UT mit u^^ = -U,. Dann ergibt
sich fur die Knotengleichung - J S / Q C " ^ " / ^ ^
+ UJR,=0.
0
Fiir die Ubertragungsgleichung erhalt man

L/, = i^iig/oe-^^/^-. (8-22)


< 2
Diese Gleichung gilt nur fiir U^ < 0. Weil die
Transistorkennwerte P und IQ nicht stabil sind.

2 5 10 20 50 100
Eingangsspannung UJmy — • Bild 8-43. Ubertragungsfunktion der Logarithmier-
schaltung.
354 8 Analoge integrierte Schaltungen

Ri a)lineare Darstellung

lU

1
7—'

:5 >
c'
\u, R2 ^ 3
C
C
2
u. (0

—r->j= O)
c
< (C
O)
0)
u
< 2
4 > .
Bild8-45. Delogarithmierschaltung mit gepaartem
Doppeltransistor. 0 • ^^—^^-^—^ —• ' 1
-100 -50 0 50 100

Wie bereits im vorhergehenden Abschnitt aus- Eingangsspannung UJm\/ ^-

gefuhrt, verwendet man Doppeltransistoren, wie b) einfach logarithmische Darstellung


dies Bild 8-45 zeigt. Dabei erzeugt der Verstar- in

ker V2 zusammen mit dem Transistor T2 jene i


Basisspannung an T^, die eine temperaturunab- 5
hangige Ubertragungsfunktion erlaubt. Die Be-
0
rechnungen erfolgen analog Abschn. 8.3.12.
Als Ergebnis erhalt man folgenden Zusammen- ? 1
hang (Bild 8-46):
(0

? 0,2

1 0.1
<
Wie aus der Schaltung (Bild 8-45) hervorgeht, 0,05
ist fiir den oberen Transistor der KoUektor-
strom IQ und fur den unteren der Emitterstrom 0,02
von Bedeutung. Deshalb ergibt sich, wie Gl.
(8-23) zeigt, doch eine Fehlerquelle in Hohe von - 1 00 -50 0 50 1C)0
l/j5. Sie ist fiir groBe Stromverstarkungen j5
Eingangsspannung UJmy ^-
unerheblich. Auch die Temperaturabhangigkeit 1
der Widerstande und Spannungen konnen zu Bild 8-46. Ubertragungsfunktion der Delogarith-
Fehlern fuhren, die allerdings durch entspre- mierschaltung ( Exponentialverstarker ) .
chende Schaltungen korrigiert werden konnen.
Operationsverstarkerschaltungen mit dynami-
scher Riickkopplung erzeugen Ausgangssignale,
8.4 Operationsverstarker die nicht nur vom Augenblickswert der Ein-
gangsspannung, sondern auch von deren bis-
mit dynamischer Beschaltung herigen Verlauf abhangen. Die Beschaltung ent-
Operationsverstarker-Schaltungen mit stati- halt Bauteile (z. B. Kondensatoren), bei denen
scher Riickkopplung erzeugen zu jeder Ein- der Strom und die Spannung zeitlich gegenein-
gangsspannung dnQfest zugeordnete Ausgangs- ander versetzt verlaufen. Die im Prinzip eben-
spannung. Die Riickkopplung besteht aus falls verwendbaren Induktivitaten sind prak-
Bauteilen (z. B. aus Widerstanden, Dioden oder tisch nicht in Gebrauch, da sie schlechtere
Transistoren), bei denen der Strom der angeleg- elektrische Eigenschaften als Kondensatoren
ten Spannung ohne Verzogerung folgt. aufweisen und teurer sind. Statt dessen baut
8.4 Operationsverstarker mit dynamischer Beschaltung 355

man alle passiven Filterschaltungen aus Kon- gekoppelten Stroms gleich null, und der Ein-
densatoren und Induktivitaten heute als aktive gangsstrom des Operationsverstarkers wird
Filterschaltungen, bestehend aus Operationsver- stets vernachlassigt. Der Verstarker muB dabei
starkern, Widerstanden und Kondensatoren. den Signalen ohne spurbare Verzogerung folgen
Bei beiden Schaltungstypen ist, wie bei alien konnen; sonst gelten die angegebenen Obertra-
Schaltungen mit Operationsverstarkern, die gungsfunktionen nicht oder nur naherungs-
Summe des Eingangsstroms und des zuruck- weise.

Bild8-47. Zusammenstellung dynamisch beschalteter Operationsverstarker.


356 8 Analoge integrierte Schaltungen

Bild8-47. Zusammenstellung dynamisch beschalteter Operationsverstarker (Fortsetzung).

Beim Integrierer und Differenzierer wird der der Ruckfuhrwiderstand R2 ist durch den Kon-
zeitliche Verlauf des Eingangssignals durch In- densator C ersetzt. Die Ubertragungsfunktion
tegration bzw. Differentiation in einen anderen wird wie folgt berechnet: Es gilt die Knotenregel
zeitlichen Verlauf der Ausgangsspannung um- ig + ic = 0 oder
geformt, wahrend Hoch-, Tief- und Bandpdsse
Me ^ dw„ ^
verschiedene Frequenzen trennen und damit den
Frequenzbereich betrachten. Bild 8-47 gibt eine R dt
Ubersicht iiber die wichtigsten dynamischen Die Ausgangsspannung ist somit
Schaltungen und ihre Eigenschaften.
1
8.4.1 Integrator M. = \u^dt. (8-24)
Der Integrator kann Funktionsverlaufe elek-
trischer GroBen uber der Zeit integrieren. Er Der Faktor RC im Nenner ist die Zeitkon-
findet beispielsweise zur Funktionserzeugung, stante; sie gibt die Integrationszeit an.
fur steuerbare Zeitglieder oder in der Rege- Ein unbestimmtes Integral ist bis auf einen An-
lungstechnik Verwendung. fangswert l/^o bestimmt. Fiir obige Schaltung
Die Ausgangsspannung u^ des Integrators ist ist die Integrationskonstante die Spannung am
die Summe der Produkte aus anliegender Ein- Kondensator UQ, die durch eine Aufladung er-
gangsspannung u^ mal dem jeweiligen Zeit- zeugt wird. Ist U^ = 0, dann hat vor dem Inte-
abschnitt dt. Die Ausgangsspannung u^ ist grieren keine Aufladung stattgefunden. Fiir kon-
deshalb der Eingangs-Spannungs-Zeit-Flache stante Eingangsspannung ist die Ausgangsspan-
Wg dt proportional. Diese Funktion ist wichtig nung
und wird haufig benutzt. Bild 8-48 a zeigt die
Schaltung eines Integrators. 1
Die Schaltung ist dem invertierenden Span- w. = Uj'rUr. (8-25)
nungsverstarker (Bild 8-21) ahnhch; ledighch Jc
8.4 Operationsverstarker mit dynamischer Beschaltung 357

a) Schaltung
mehr. Selbst wenn der Eingangsstrom null ist,
wirkt die invertierte Offsetspannung wie eine
Eingangsspannung u^ und erzeugt iiber dem
Widerstand R einen Eingangsstrom, der inte-
/c f griert wird. In der Praxis muB man deshalb stets
>--*^ fur einen ausreichenden Eingangsstrom sorgen.
Das kann im einfachsten Fall uber einen dem
Kondensator C parallel geschalteten Riickfiihr-
widerstand R* geschehen. Der Eingangsstrom
wird dann aus der Ausgangsspannung iiber
den Widerstand R* (im MD-Bereich, meistens
> 10 MQ) gespeist (Bild 8-49). Die Ausgangs-
b) Ein- und Ausgangsspannung
spannung hat dann einen kleinen, der Ein-
gangsspannung t/g proportionalen, Anteil. Da-
durch wird die Gleichstromverstarkung auf den
Wert V = R'^/R begrenzt. Diesen proportiona-
len Anteil kann man nicht immer tolerieren.
Der Riickfiihrwiderstand kann entfallen, wenn
der Integrator in einem geschlossenen Regel-
kreis ist, in dem eine Abweichung der Aus-
gangsspannung u^ die Eingangsspannung u^
korrigiert und damit den Eingangsstrom des
Operationsverstarkers sicherstellt.

Bild8-48. Integrator.
1 1
I 1
Bild 8-48 b zeigt die Integration iiber jeweils C
einen rechteckformigen Eingangsimpuls mit < II i
verschiedener Form und Polaritat. Die Aus- II '
gangsspannung, d. h. die integrierte Kurve, ist
R
rot eingezeichnet.
1 1 1
1 1 •
Nicht immer soil die AusgangsgroBe des Inte- ^ ^ .
grators bei null beginnen. Dazu kann der Inte-
, ^ . . ^ ^
grationskondensator auf den gewiinschten An-
fangswert aufgeladen und mit dem Beginn der \y^
Integrationszeit weiter verandert werden. Da f
der Vorgang linear ist, kann man den Anfangs- <i
wert auch am Ausgang des Integrators mit einer Bild 8-49. Integrator mit Gleichstrompfad.
Addierschaltung hinzufiigen. Es gibt auch Inte-
grationsschaltungen, deren Anfangswert ein- Beispiel
stellbar ist. 8.4-1: Ein Funktionsgenerator soil eine Dreieckspan-
Der Integrator aus Bild 8-48 hat keine Gleich- nung mit linearem Anstieg bei 1 Hz erzeugen. Es steht
stromruckfuhrung. Der zwar kleine, aber doch eine Rechteckspannung mit dieser Frequenz und
von null verschiedene Eingangsstrom des Ope- ± 2,5 V Hub zur Verfiigung. Der Ausgangsspan-
nungshub soil ± 5 V betragen.
rationsverstarkers muB iiber den Widerstand R
zugefuhrt werden. Fehlt dieser Strom, beispiels- Losung:
weise bei offenem Eingang oder einer hoch-
ohmigen Quelle, dann wird der Eingangsstrom Hierzu eignet sich der Integrator nach Bild 8-49. Der
des Operationsverstarkers von seinem Ausgang Kondensator C in der Riickfiihrung hat 4,7 |iF. Sein
Strom ist ic = C- dujdu i^ = 4,7 • 10~^ F • 5 V/0,5 s
uber den Kondensator C aufgebracht, wobei die = 47 \iK. Bei 2,5 V Eingangsspannung muB iiber R
Ausgangsspannung langsam bis an eine Aus- der Strom i^ = 47 \ik flieBen, R = 53,2 kQ. Uber R*
steuergrenze driftet. soil nur ungefahr 1% des Stroms im Kondensator
In diesem Zustand arbeitet die Schaltung nicht flieBen, das sind 0,47 |xA. R* ^ 10 MQ.
358 8 Analoge integrierte Schaltungen

Haufig muB nicht nur eine EinzelgroBe sondern


die Summe oder Differenz mehrerer GroBen + ... + ^ + c ^ = o
R^ R2 R dt
integriert werden. Hierzu konnen die entspre-
chenden Strome direkt auf die Knoten 1 und 2 Die Ausgangsspannung U^ ist dann
in Bild 8-50 wirken. Integriert wird die vor-
zeichenrichtige Summe aller EingangsgroBen. 1
Diese Schaltung spart einen getrennten Addie- c ^\R. R2 RJ
rer, fuhrt aber leicht zu uniibersichtlichen Ver- ^ ' ' "^ (8-26)
haltnissen. Da heute die Operationsverstarker
klein und preiswert sind, lassen sich die Funk- Fiir den Fall, daB alle Widerstande gleich sind,
tionen leicht voneinander trennen. ergibt sich
Die Kapazitat des Integrationskondensators C
darf sich mit der Zeit und mit der Umgebungs- 1
temperatur nicht andern. Giinstig sind FoUen-
kondensatoren, wahrend sich Elektrolytkon- (8-27)
densatoren durch den hohen und stark schwan-
kenden Reststrom und durch ihre unipolare Be-
triebsspannung schlecht eignen. Integration mit gleichzeitiger Subtraktion
Oftmals erfordern die meBtechnischen Auf- Nach der Schaltung in Bild 8-50 b gelten fol-
gaben im Zusammenhang mit der Integration gende Knotengleichungen:
eine Addition bzw. eine Subtraktion. Dazu sieht
man vor der Integrierschaltung eine Additions- Knoten 1:
bzw. Subtraktionsschaltung vor (Bild 8-50 a el —• f^n
w„ u,. —- ^nu„
^c2 ^ e n " "n
und b).
R R R
Integration mit gleichzeitiger Addition
^C-{u,-uJ = 0.
Nach Bild 8-50 a gilt die Knotengleichung
a) Integration und gleichzeitige Addition Knoten 2:

R R " R
dM„
dt
Fiir den Fall n = m und mit u^ = u^ erhalt man:

R^ R ^'"^ R ^^ dt
b) Integration und gleichzeitige Addition
und Substraktion
R C R R R
L/e1 CZ>
F Fiir die Ubertragungsgleichung ergibt sich

Uen
"a = ; ^ j [ K 3 + " e 4 + ... + "em) (8-28)
Ue3

Ue,
•("el+"e2 + "- + Wen)]df+t/c

8.4.2 Differenzierer
Der Differenzierer ist das mathematische Ge-
Bild 8-50. Integration mit Addition und Subtraktion. genstiick zum Integrator, er verarbeitet nur
8.4 Operationsverstarker mit dynamischer Beschaltung 359

Anderungen der Eingangsspannung u^ zu einer widerstand \Z^^j^\ = l/coC. Die Quellspan-


AusgangsgroBe u^. Vertauscht man den Kon- nung (7e muB daher einen vernachlassigbar
densator und den Widerstand in Bild 8-48, so kleinen Innenwiderstand haben.
ergibt sich die Schaltung eines Differenzierers - Verstdrkung hochfrequenter Storsignale
nach Bild 8-51 a. Durch den Kondensator C im Bei sinusformiger Eingangsspannung u^ =
Eingang flieBt nur dann Strom, wenn sich die l/g sin (co t) wird nach Gl. (8-29) u^ =
Eingangsspannung dndert. Die Ausgangsspan- — CO RCU^ cos (co t). Damit wird das AmpU-
nung Kg ist der Anderung der Eingangsspan- tudenverhaltnis U^/U^ = CDRC. Das bedeu-
nung Mg proportional. tet: Sind im Eingangssignal hochfrequente
Storsignale vorhanden, so treten diese im
Ausgangssignal verstarkt hervor. Da die Ge-
genkopplung mit hoherer Frequenz ab-
nimmt, wird das Rauschen der Eingangsstufe
des Verstarkers sehr hoch verstarkt.
- Instabilitdt wegen Schwingneigung
Das i^C-Glied kann bis zu 90° Phasenver-
schiebung verursachen. Hierdurch wird die
Schwingneigung des Verstarkers gefordert.
Die Schaltung nach Bild 8-52 vermeidet diese
b) Ein- und Ausgangsspannungen
Nachteile.
11C2
II
R2
1 1
' 1

\jr J
i
Ri
|_
r
II
II ^
[ ^ .
Bild 8-51. Einfacher Differenzierer. \ > ^ uA

Den Zusammenhang zwischen Eingangs- und , 1

Bild 8-52. Verbesserte Schaltung eines Differenzie


Ausgangsspannung berechnet man liber den rers.
Strom im Knoten am invertierenden Eingang.
Bild 8-51 b zeigt die entsprechenden Zeitfunk-
tionen. Zunachst schaltet man den Widerstand R^ in
Reihe zu C^. Die Schaltung wirkt als Differen-
Die Knotenregel lautet
zierer nur fur Frequenzen co <^ cOg = l/{Ri C^).
I'c + t R = 0 Oder
Baut man zusatzhch den Kondensator C2 par-
R dt allel zum Riickfuhrungswiderstand R2 ein,
dann wird die Verstdrkung bei hohen Frequen-
Nach U^ aufgelost erhalt man
zen abgesenkt. Ist R^ C^ = R2C2, dann beginnt
der Abfall der Verstarkung erst bei cOg. Der zum
(8-29) Differenzieren ausnutzbare Bereich wird in die-
sem Fall durch C2 nicht weiter eingeschrankt,
als dies bereits durch R^ der Fall ist. Der Zu-
Diese Schaltung nach Bild 8-51 a ist in der Pra- sammenhang zwischen Ein- und Ausgangs-
xis unbrauchbar, weil sie folgende schwerwie- spannung entspricht Bild 8-51 b. Bei sehr
gende Mangel aufweist: schnellen Anderungen der Eingangsspannung
- Sinkender Eingangswiderstand bei steigender wird die Ausgangsspannung durch die Beschal-
Frequenz tung mit R^ und C2 begrenzt.
Mit steigender Frequenz sinkt der Eingangs- Fur eine Differentiation ohne Inversion benutzt
360 8 Analoge integrierte Schaltungen

man die Schaltung nach Bild 8-53. Es gelten Zeitbereich. Unter diesem Gesichtspunkt kann
folgende Knotengleichungen: man auch den Integrierer und den Differenzie-
u^ — u„ du„ rer als Filter ansehen. Bei alien aktiven Filtern
Knotenl: 2 - C - ^ = 0; finden RC-Glieder Verwendung. Filter erster
R dt Ordnung besitzen ein RC-Glied und sind
mathematisch durch relativ einfache Differen-
Knoten2: C % : ^ - ^ ^ 0 . tialgleichungen zu beschreiben. Filter hoherer
dt R
Ordnung bestehen aus der entsprechenden An-
Da beim idealen Operationsverstarker L^ = U^ zahl von RC-Ghedern. In der Praxis spielen im
ist, erhalt man durch Gleichsetzen der beiden wesenthchen Filter erster und zweiter Ordnung
Gleichungen eine Rolle, weshalb sie hier beschrieben werden.
In Bild 8-47 sind die unterschiedlichen Filter-
arten mit ihrer Bezeichnung, ihrer Schaltung,
der Darstellung ihrer Sprungantwort (Verlauf
von w^, wenn u^ eine Sprungfunktion ist),
der frequenzabhangigen Ubertragungsfunktion
U^ — {{UQ) und des Amplitudenganges zusam-
mengestellt. Als Bezugsfrequenz dient iiblicher-
weise die Grenzfrequenz co^ bzw. /g. Bei dieser
Frequenz sind die Bhndwiderstande des Filters
gerade so groB wie die Wirkwiderstande, bzw.
das Amphtuden-iJbertragungsmaB ist um 3 dB
zuriickgegangen. Der BandpaB und die Band-
sperre bestehen aus zwei RC-Gliedern mit zwei
unterschiedHchen Grenzfrequenzen /g^ bzw.
/g2. In diesem Fall wird der geometrische Mit-
Bild 8-53. Nicht invertierender Differenzierer. telwert / „ beider Frequenzen berucksichtigt:

8.4.3 Filterschaltungen Die einzelnen Filtertypen werden im folgenden


Vorwiegend in der Nachrichtentechnik ist es ausfiihrlich besprochen. Die verwendeten Glei-
haufig erforderlich, aus einem breitbandigen chungen enthalten stets die Kreisfrequenz co
Frequenzgemisch einen Teil zu entnehmen oder und haufig die Grenz- oder Resonanzfrequenz
zu sperren. Filter sind Schaltungen, die be- CDQ. Fiir alle angegebenen Gleichungen gelten
stimmte Frequenzbereiche durchlassen, andere die Zusammenhange
sperren. Der Ubergang vom DurchlaBbereich
zum Sperrbereich erfolgt gleitend. Durch meh- (JO = 2nf, (8-31)
rere in Reihe geschaltete Einzelfilter entstehen Q = (D/COQ . (8-32)
Filter hoherer Ordnung, und der Ubergang er-
folgt schneller. Das Filter hat eine hohere Flan-
kensteilheit, die man meist in dB/Dekade oder
8.4.3.1 TiefpaB 1. Ordnung
dB/Oktave angibt. Filter wurden friiher aus
Kondensatoren und Spulen hergestellt. Spulen Bild 8-54 zeigt einen passiven TiefpaB 1. Ord-
sind groB, teuer und fur niedrige Frequenzen nung.
nur mit einer geringen Gute herstellbar. Des-
halb haben sich mit den Operationsverstarkern
auch die aktiven Filter durchgesetzt, die auf
Spulen verzichten und alle Filtertypen aus
einem Operationsverstarker mit einer Wider-
stands- und Kondensatorbeschaltung in ausrei-
chender Giite verwirkHchen.
Filter entfernen Telle aus dem Frequenzgemisch
eines Signals und verformen damit das Signal im Bild 8-54. Passiver Tiefpafi 1. Ordnung.
8.4 Operationsverstarker mit dynamischer Beschaltung 361

Wird keine Verstdrkung benotigt, dann genugt daB fiir die Ausgangsspannung u^ gilt
oft ein einfacher passiver Tiefpafi. Er laBt sich
mit einem aktiven TiefpaB kombinieren und er- U=-U^ (8-33)
hoht dessen Ordnung um eins.
Bild 8-55 zeigt einen aktiven Tiefpafi 1. Ord-
Die komplexen Widerstande Z^ und Z^ betra-
nung. Die Schaltung gleicht dem Integrator mit
gen ~~ '~
Gleichstrompfad (Bild 8-49). Die statische Ver- 1 R.
starkung v = R2/R1 ist jedoch meistens wesent- Z =
l/R2-\-icoC2 1 +ja;C2i^2'
lich kleiner als beim Integrator.
Vergleicht man Bild 8-55 a mit Bild 8-21, so Z^ = R,.
kann man die Widerstande R^ und J^2 durch Eingesetzt in obige Gleichung ergibt sich fiir die
die Scheinwiderstande Z^ und Z^ ersetzen, so komplexe Ubertragungsfunktion
a) Schaltung R. 1
U^ = -U, — : . (8-34)
— — R^l-h}CDC2R2
Man kann sie zur besseren Ubersicht in Betrag
und Phase aufteilen und graphisch darstellen.
Hierzu wird in Gl. (8-34) der Nenner reell ge-
macht, und es gilt

b) Verstarkung (Amplitudengang)
20

10
Den entsprechenden Verlauf der Verstarkung
V{CD) zeigt Bild 8-55 b; der Phasengang (^(co) ist
0 in Bild 8-55 c dargestellt. Oberhalb der Grenz-
frequenz /g bzw. cOg sinkt die Verstarkung mit
6 dB/Oktave oder mit 20 dB/Dekade.
5
10 8.4.3.2 TiefpaB 2. Ordnung
Bild 8-56 zeigt einen passiven TiefpaB 2. Ord-
nung. Hierbei fallt die AmpHtude oberhalb der
on Grenzfrequenz doppelt so schnell ab wie bei
0,01 0,1 1 10 100 einem TiefpaB 1. Ordnung. Er funktioniert nur
normierte Frequenz - dann, wenn er aus einer sehr niederohmigen
Quelle gespeist und am Ausgang nicht belastet
c) Phasendrehung wird, was man nur mit einem zusatzlichen Ver-
0 starker erreichen kann. Die Ubertragungsfunk-
tion unter obigen Bedingungen errechnet sich zu

8-
1
-45^ (8-37)
0) l+jcoC/?- (O^LC

-90°
Mit (Oo = ^-IJLC, Z = S/LIC und Q = 0)/C0o
0,01 0,1 10 100 ergibt sich
normierte Frequenz -7— 1
'n (8-38)
l + j i 2 R / Z - -Q^'
Bild 8-55. Aktiver Tiefpafi 1. Ordnung.
362 8 Analoge integrierte Schaltungen

L R der Grenzfrequenz sind frei wahlbar. Es gelten


1
1
11 folgende Beziehungen:

Vo
"> (8-39)
• \+]Qa-Q^
Bild 8-56. Passiver Tiefpafi 2. Ordnung.
<- = 1/R'C,C2, fo = - 1 ,
Die GroBe RjZ bestimmt die Dampfung in der c,- = a/2R(0g, C, = 2/a R Og
Nahe der Grenzfrequenz. Da ohnehin ein Ver-
starker erforderlich ist, kann dieser direkt durch Damit lassen sich alle Telle leicht berechnen.
eine geeignete Beschaltung die Eigenschaften Der Dampfungsfaktor R/Z des passiven Filters
eines Tiefpasses 2. Ordnung erhalten. Hierbei ist beim aktiven durch a ersetzt. Die Ubertra-
gibt es mehrere Moglichkeiten. gungsfunktion ist allgemein in Gl. (8-39) ange-
Der am leichtesten zu verstehende TiefpaB ist geben. Zur besseren IJbersicht kann man sie in
der invertierende Spannungsverstarker (Bild Betrag und Phase aufteilen und graphisch dar-
8-21), in dem R^ durch ein passives TiefpaB-T- stellen. Hierzu wird in Gl. (8-38) der Nenner
Glied und der Ruckfuhrwiderstand R2 durch reell gemacht und vom Zahler der Betrag gebil-
ein mit C^ iiberbriicktes passives TiefpaB-T- det. Bild 8-57 b und c zeigen diese Funktionen
Ghed ersetzt wird (Bild 8-57). Beide Tiefpasse fur die Verstarkung i; = 10. Zur Darstellung ei-
haben die gleiche Grenzfrequenz cOg. Weit nes groBeren Bereichs ist die Amplitude im lo-
unterhalb der Grenzfrequenz a>g = 1/i^ C sind garithmischen MaB dB angegeben. Fiir den Be-
die Kondensatoren praktisch stromlos, und die trag der Verstarkung | v \ und den Phasenwinkel
Verstarkung der ganzen Schaltung betragt (p gelten folgende Zusammenhange:
v = — 2 R/2 R== —1. Mit zunehmender Fre-
quenz dampft der TiefpaB im Eingang den
Wechselstrom, der in dem Knoten flieBt, wah-
rend der allmahlich aktiv werdende Konden-
sator Ci in der Riickfiihrung zusatzlich die Ver-
starkung bei hoheren Frequenzen verringert.
Beide Wirkungen uberlagern sich und fuhren
zu einem TiefpaB 2. Ordnung mit der Grenz-
frequenz cOg = l/y/EFc^C2. Es gibt verschiedene Schaltungen mit Opera-
tionsverstarkern, die alle zur gleichen TiefpaB-
funktion fuhren. Deshalb haben sich in der Pra-
xis Schaltungen durchgesetzt, die mit weniger
Teilen oder iiber eine einfachere Berechnung zu
verwirklichen sind.
Die Schaltung nach Bild 8-58 a hat im Eingang
das schon bekannte TiefpaB-T-Filter. Das Aus-
gangssignal wird uber den Widerstand R3 auf
den Knoten des Eingangsnetzwerks und iiber
den Kondensator C2 auf den invertierenden
Eingang zuriickgefuhrt. Die Schaltung stellt
einen aktiven Tiefpafi mit Mehrfachgegenkopp-
Bild 8-57. Tiefpafi mit T-Gliedern. lung dar.
Der aktive TiefpaB mit Mehrfachgegenkopp-
Die Bauteilwerte der Beschaltung sind im Prin- lung wird bevorzugt genutzt, well er wenig Bau-
zip frei wahlbar, was jedoch zu einer sehr auf- teile benotigt, und die wichtigen Parameter
wendigen Berechnung fuhrt. Setzt man fur alle Grenzfrequenz cOg, Verstarkung VQ und die
Widerstande und die Kondensatoren C2 gleiche Dampfung a frei wahlbar sind. Die Ubertra-
Werte ein, dann wird die Verstarkung f = — 1, gungsfunktion wird durch Gl. (8-39) beschrie-
die Grenzfrequenz cOg und die Dampfung a bei ben. AuBer den oben genannten Parametern,
8.4 Operationsverstarker mit dynamischer Beschaltung 363

die durch die Funktion bestimmt sind, muB ein Ein giinstiger Ansatz ist 1 kQ< i^^ < lOOkQ.
Wert, meistens R^ und i^2 willkiirlich festgelegt Wird R 1 zu klein gewahlt, dann ist der Ein-
werden. gangswiderstand klein und der Ausgangsstrom
groB. Eine niedrige Grenzfrequenz bedingt
a) Schaltung auch hohe Kapazitatswerte. Wird R^ zu groB,
dann wirken sich der Eingangsstrom und die
-kapazitat des Verstarkers sowie die sonstigen
[JR3 :fC, parasitaren Kapazitaten starker aus. Liegt die
Ri Grenzfrequenz hoch, dann wird besser nieder-
f—c=> ohmig dimensioniert, damit die Kondensatoren
nicht zu klein werden. Die Einzelwerte berech-
Ue =tc, nen sich zu

b) Verstarkung (Frequenzgang)
40
Ci = 3/a R cOg,
\ 1 = 0,1
^-^^^ C2 = a/3R(D^VQ .
30
.a = 0,5 1 Bild 8-58 b und c zeigen die Ubertragungs-
1 funktion nach Betrag und Phase bei der Ver-
^Q. = 0,9
20
starkung ^0 = 10 fiir verschiedene Dampfungs-
>>. CD a = • ,3 werte a.
Der TiefpaB 2. Ordnung laBt sich auch mit
10
x^i einem Verstarker mit Einfachmitkopplung ver-
a :iy' wirklichen. Bild 8-59 zeigt die Schaltung. Der
mitgekoppelte Verstarker arbeitet nur stabil,
\ wenn seine Grundverstarkung begrenzt ist. Da-
bei nutzt man den nicht invertierenden Opera-
tionsverstarker nach Bild 8-23. Die Einzelwerte
\
10 ^ der Beschaltung berechnet man gemaB
0,1 1 10
normierte Frequenz - ^1 = ^2 = ^ '

vo = RJR^ + 1,
) Phasendrehung
C, = C2 = C=l/RcD^,
0
1 a = (3 - i?o) •
^a = 0,1
1
^a = 0,5
45 1
^a = 0 9

r1>
Ri

90
lv\^a - 1,3
Ue i D S ^=
7
135
Bild 8-59. Aktiver Tiefpafi mit Einfachmitkopplung.

180 Bei dieser Schaltung laBt sich die Dampfung


0,1 1 10 durch einen Spannungsteiler aus R3 und R4
normierte Frequenz- einstellen, wobei die Gleichstromverstarkung
und die Dampfung fest miteinander verkoppelt
Bild8-58. Aktiver Tiefpafi mit Mehrfachgegenkopp-
sind. Fiir i^o ^ ^ wird a null oder negativ, und
lung. die Schaltung schwingt selbstandig. Wird die
364 8 Analoge integrierte Schaltungen

Schaltung fur eine kleine Dampfung a ausge- Im DurchlaBbereich gelten folgende Werte:
legt, dann konnen kleine Anderungen von Bau- v^ = RJR^ und CD^ = \IR^C^.
elementwerten oder -toleranzen zum Schwin-
gen fuhren. Fiir diese Anwendung ist der aktive Der Kondensator C2 soil die Verstarkung erst
TiefpaB mit Mehrfachgegenkopplung weniger oberhalb des Arbeitsbereiches der Schaltung
kritisch. Fiir den Amplituden- und Phasengang verringern. Deshalb gilt i^2 ^2 "^ ^ 1 ^1 •
gilt Bild 8-58 b und c. Die komplexe Ubertragungsfunktion berechnet
Bei alien Filterschaltungen sind Widerstande man genau wie beim TiefpaB:
und Kondensatoren mit den liblichen Toleran- R2 jojC.R,
zen zu ungenau. Berechnete und tatsachliche u. = -u.R^ l+jcoQi^i
(8-42)
Werte soUten nicht mehr als 1% voneinander
abweichen. Die Auswirkungen, vor allem auf
a) Schaltung
die Phasendrehung bei der Grenzfrequenz,
soUte man im Einzelfall nachrechnen. 1—II 1
Beispiel
8.4-2: In einem Funkempfanger, der nur der Sprach-
iibertragung dient, sollen alle Tonfrequenzen bis
3,3 kHz um den Faktor f Q = 10 verstarkt werden; bei
10 kHz mu6 die Verstarkung auf 1 abgefallen sein.
,
1
1
1
1
II
II *-
<
h^
\>^ 1
Losung: 1r' (1
Die Flankensteilheit, 40dB/Oktave, erfordert ein
TiefpaBfilter 2. Ordnung. Man verwendet die Schal- b) Verstarkung
tung nach Bild 8-58, der Dampfungsfaktor a soil 1 ^u
sein. Fiir den Kondensator C^ werden 10 nF festge-
setzt. Aus den angegebenen Beziehungen konnen die
Werte berechnet werden.
10
Ci = 3/ajRcOg, R = 3/aCiC0g,
i^ = 3 / M O n F - 2 7 1 - 3 3 0 0 - l / s . R = 14,46 kQ,
C2 = l , l l n F , R^ = Vo- R^.
0
8.4.3.3 HochpaB 1. Ordnung
0)
Werden Kondensatoren und Widerstande oder
Kondensatoren und Spulen vertauscht, dann > 10
entsteht aus dem TiefpaB ein Hochpafi. Bild
8-60 zeigt einen passiven HochpaB 1. Ordnung.
Wird keine Verstarkung benotigt, dann geniigt on
oft ein einfacher passiver HochpaB. 0,01 0,1 1 10 100
normierteFrequenz-

c) Phasendrehung
90

CO

a.
Bild 8-60. Passiver Hochpafi 1. Ordnung.

Bild 8-61 zeigt einen aktiven HochpaB 1. Ord- 100


nung. Die Schaltung entspricht dem verbesser- normierte Frequenz
ten Differenzierer in Bild 8-52. Die Verstarkung
bei Gleichspannung ist i; = 0. Bild 8-61. Aktiver Hochpafi 1. Ordnung.
8.4 Operationsverstarker mit dynamischer Beschaltung 365

Sie laBt sich zur besseren Ubersicht in Betrag Die GroBe R/Z bestimmt die Dampfung in der
und Phase aufteilen und grafisch darstellen. Nahe der Grenzfrequenz. Da ohnehin ein Ver-
Bild 8-61 b und c gibt den Amplituden- und starker erforderlich ist, kann dieser direkt durch
Phasengang des Hochpasses 1. Ordnung wie- eine geeignete Beschaltung die Eigenschaften
der. eines Hochpasses 2. Ordnung erhalten. Hierzu
kann man jeden TiefpaBtyp in einen HochpaB
Beispiel umrechnen. Dazu gilt im allgemeinen
8.4-3: Bin HochpaB soil Frequenzen oberhalb 1 kHz
i^HP = V^g Q p Ulld ^HP = V<^g ^TP •
um den Faktor VQ = 10 verstarken und Frequenzen
unterhalb 20 Hz nicht verstarken. Bild 8-63 zeigt den HochpaB mit Einfachmit-
kopplung. Fur die Dimensionierung schreibt
Losung: man vereinfacht
Hierzu eignet sich ein HochpaB 1. Ordnung. Die
^1 = ^2 — ^ '
Grenzfrequenz /g wird auf 500 Hz festgelegt, damit
Frequenzen / > 1 kHz nicht geschwacht werden. Man vo = RJR^ + 1,
wahlt den aktiven HochpaB nach Bild 8-61. Der Kon- C, = C2 = C=l/Rw^,
densator C^ wird mit 10 nF festgelegt. Damit gilt
cOg = \/R, Ci, R, = l/WgCi, R, = l/10007i(l/s) 10"^F
= 31,4 k a vo = 10, RJR, = Vo,R2 = 314 kQ. C2R2 Die GroBe VQ ist die Grundverstarkung des mit
bestimmt die obere Grenzfrequenz, die bei 100 kHz R^ und i^4 beschalteten Operationsverstarkers.
liegen soil. C2 = I/C0R2, C^ = 50 pF.
Sie ist beim ganzen Filter nur oberhalb der
Grenzfrequenz meBbar. Fiir den Amphtuden-
8.4.3.4 HochpaB 2. Ordnung gang ergibt sich der an der Frequenz co = co^
gespiegelte Verlauf von Bild 8-58 b.
Bild 8-62 zeigt einen passiven HochpaB 2. Ord-
nung. Die AmpUtude steigt bis zur Grenzfre- R2
quenz doppelt so schnell an wie bei einem Hoch- -CD-
paB 1. Ordnung. Der passive HochpaB funktio-
Ci
niert nur dann, wenn er aus einer sehr nieder-
ohmigen Quelle gespeist und am Ausgang nicht
belastet wird, was sich nur mit einem zusatz-
lichen Verstarker erreichen laBt. Die tJbertra-
gungsfunktion unter obigen Bedingungen er-
n
R. n^^^lV
R4
rechnet sich zu

-co^LC Bild 8-63. Aktiver Hochpafi mit Einfachmitkopp-


t4 (8-43) lung.
i+j (oCR-co^ LC'

Mit COQ = 1/y^ , Z = ^ /L/C und Q = CO/COQ 8.4.3.5 Bandpafi (selektives Filter)
ergibt sich Schaltet man einen TiefpaB und einen HochpaB
in Reihe, so erhalt man eine obere Grenzfre-
t4 -Q^ quenz co^ (HochpaB) und eine niedrigere CO2
I ' (8-44)
i + j QR/Z-Q' (TiefpaB). Dadurch wird es moghch, zwischen
diesen Grenzfrequenzen ein Frequenzband zu
iibertragen. Dieses Filter hat deshalb die Be-
zeichnung Bandpafi. Die Mittenfrequenz co^ ist
der geometrische Mittelwert beider Grenzfre-
quenzen: cOj^ = y/co^ CD2' Die Bandbreite ist die
Differenz zwischen der oberen und der unteren
Grenzfrequenz, bei der die Spannungsverstar-
kung v^ in der Bandmitte auf den Teil l/>/2 der
Spannungsverstarkung VQ abgefallen ist. Bild
Bild 8-62. Passiver Hochpafi 2. Ordnung. 8-64 zeigt die Schaltung und den Frequenzgang.
366 8 Analoge integrierte Schaltungen

a) Schaltung Q^ sehr niedrigen Arbeitsfrequenzen Filter mit


11 hoher Giite herzustellen.
"R. Wie bei den Tief- und Hochpassen, kann man
auch Bandpasse mit Einfachgegenkopplung,
C, R,
. beispielsweise mit dem Doppel-T-Filter, sowie
^"^

p
Bandpasse mit Mehrfachgegenkopplung oder
Einfachmitkopplung aufbauen. Die Ubertra-
'f ^ gungsfunktion eines Bandpasses 2. Ordnung ist
1f^^
unabhangig von der Reahsierung und lautet
b) Frequenzgang
(8-45)

1 mit der Resonanzfrequenz a>Q, der normier-


O)
ten Frequenz Q = CD/COQ , der Verstarkung bei
c Resonanzfrequenz VQ und der Giite Q. Die Giite
Q ist ein MaB, das zum Ausdruck bringt, wie
w
schnell die Ausgangsamphtude abfallt, wenn die
1 0 Arbeitsfrequenz co von der Resonanzfrequenz
0 01 0,1 1 10 1(DO
(OQ abweicht. Bei den Grenzfrequenzen cOg =
normierte Frequenz -: »-
COQ (1 ± l/2_2) ist die Verstarkung v auf den Wert
Bild8-64. Bandpafi aus Hochpafi und Tiefpafi t?g = t;o/.y2abgesunken und die Ausgangsphase
1. Ordnung. gegeniiber der Eingangsphase um ±45° ver-
schoben.
Diese Schaltung ist immer dann notwendig, Den Frequenzbereich, in dem die Verstarkung
wenn die Bandbreite h nicht klein gegen die um nicht mehr als um den Faktor ^ gegen-
Mittenfrequenz /o ist, d. h. wenn /? > 0,1 /o wird. iiber dem Maximum bei der Mittenfrequenz ab-
Die Grenze ist flieBend. Die erreichbare Band- gefallen ist, bezeichnet man als Durchlafibereich
breite ist um so kleiner, je hoher die Flanken- und seine Breite als Bandbreite. Die Grenzfre-
steilheit beim Ubergang vom DurchlaB zum quenzen sind in Bild 8-65 b schwarz eingezeich-
Sperrbereich sein soil. Hoch- und TiefpaBfilter net. Zwischen der Bandbreite b und der Gute Q
konnen zusammengesetzt sein und eine hohere besteht der Zusammenhang
Ordnung haben.
Oft muB aus einem Frequenzgemisch nur eine
Frequenz oder ein verhaltnismaBig schmales
Frequenzband verwertet werden. Bei hoheren
Frequenzen eignet sich ein Parallelschwingkreis Bild 8-65 a zeigt einen BandpaB mit Mehrfach-
vorziiglich fur diese Aufgabe. Er muB jedoch gegenkopplung. Zur Berechnung sei ein Bauteil
aus einer hochohmigen Quelle angeregt und frei gewahlt und die anderen nach den vorgege-
mit einem hochohmigen Verbraucher abge- benen GroBen berechnet. Man erhalt
schlossen werden, so daB je Schwingkreis min-
destens ein Verstarker erforderlich ist. Bei nied- 1 R,-^R2
(On = —
rigen Frequenzen erlaubt die geringe Giite Q C\l i^ii^2^3
der verwendeten Spulen (Q = LjR) nur kleine
Filterguten, was zu einer geringen Flankensteil- v, = RJ2R, , Q = WoCRJ2.
heit und oft unzureichender Unterdriickung Zusatzlich ist zu beachten: v>2Q^.
unerwiinschter Frequenzen fiihrt. Die Schaltung arbeitet nur dann richtig, wenn
Aktive Filter arbeiten nur mit Widerstanden die Leerlaufverstarkung v des unbeschalteten
und Kondensatoren, die mit hoher Giite verfug- Operationsverstarkers bei der Resonanzfre-
bar sind. Werden zudem Operationsverstarker quenz groB ist. Diese Bedingung iibersieht man
benutzt, deren Verstarkung bei der Arbeitsfre- leicht; man sollte sie deshalb stets nachprufen.
quenz ausreichend hoch ist, dann sind auch bei Die Schaltung arbeitet auch bei hoher Gute sta-
8.4 Operationsverstarker mit dynamischer Beschaltung 367

bil. Bild 8-65 b und c zeigen die frequenzabhan- Der BandpaB laBt sich ebenso wie der Tief- und
gige Verstarkung und die Phasendrehung fur HochpaB auch iiber einen mitgekoppelten Ver-
verschiedene Giiten. starker verwirklichen. Bild 8-66 zeigt die Schal-
tung eines Bandpasses mit Einfachmitkopp-
a) Schaltung lung. Fur die Ubertragungseigenschaften gelten
Bild 8-65 b und c.
R

i
b) Verstarkung (Frequenzgang)
^
u I T
R4

20 Bild 8-66. Bandpafi mit Einfachmitkopplung.


/ A ^ Grfin7frAniie>n7

Ki Das Ausgangssignal wird auf den nicht invertie-

1
^'
/ ^ \ ^ c" D =
^ renden Eingang zuriickgekoppelt. Die Verstar-
f'° / /
3

W
kung V des Operationsverstarkers ist durch eine
/ geeignete Schaltung auf den benotigten Wert
ho 0

y7
festzulegen, da sie die Gute Q bestimmt. Eine
^ J ^ ) = 10 hohe Giite erfordert zwar keine so hohe Leer-
:C0
^ laufverstarkung wie bei der Schaltung mit
w - 10
/ \ Mehrfachgegenkopplung, bedingt aber eine
> sehr genau einzuhaltende Verstarkung, da die
Gute direkt dem Kehrwert der kleinen GroBe
•20
\ (3= 30 (4 —i;) im Nenner proportional ist. Wird der
Nenner null oder negativ, so tritt Selbsterre-
gung ein, und die Schaltung schwingt auf ihrer
-30
Resonanzfrequenz.
0,1 1 10
normierte Frequenz Sind die Resonanzfrequenz COQ und die Giite Q
vorgegeben, so kann man R oder C frei wahlen
c) Phasendrehung und die zwei iibrigen GroBen berechnen. Beim
90 BandpaB mit Einfachmitkopplung ist die Ver-
starkung v^ fest mit der Giite Q verkoppelt. Es
gelten folgende Beziehungen:
COQ = ^2/R C, v^ = Vo/{4 - VQ) ,
8- Q =^/{4-Vo).

Beispiel
0)
•o 8.4-4: Der 19 kHz-Pilotton des Stereosignals soil
durch einen BandpaB aus dem Gesamtsignal regene-
riert werden. Die Verstarkung bei der Resonanzfre-
quenz soli i^r = 10 betragen.

Losung:
Es wird der BandpaB mit Mehrfachgegenkopplung
nach Bild 8-65 mit der Gute Q = 10 vorgeschlagen.
normierte Frequenz Der Kondensator C wird mit 1000 pF festgelegt. Aus
der Giite Q und der Mittenfrequenz COQ berechnet
Bild 8-65. Bandpafi mit Mehrfachgegenkopplung. man den Widerstand R. ••(o^CRJl, R,=
368 8 Analoge integrierte Schaltungen

2Q/cOpC, ^3 = 167,5 kQ. Mit der Verstarkung i;, a) Schaltung


laBt sich R^ berechnen:
HZH t C3
coo = (1/C) ^((R, + R,)/R,R2R,),
R2 = RJHC'R,R,-1), R2 = 443 a . i:2C ^

8.4.3.6 Bandsperre
Eine Bandsperre sperrt einen schmalen Fre-
quenzbereich innerhalb eines breiteren Fre-
quenzbandes. Man kann sie als aktives Filter
Ue

E M"' aa

verwirklichen, wenn der Eingangswiderstand


Ri des invertierenden Operationsverstarkers b) Dampfung (Frequenzgang)
durch ein Doppel-T-Filter ersetzt wird. 1,0
Das Doppel-T-Filter in Bild 8-67 besteht aus
zwei T-Gliedern. Das R-2C-R-Glied erzeugt zu ^ ^ ^
0,8 ^ ^ \
In/
einer Eingangswechselspannung einen nach-
eilenden Strom, das zweite C-R/2-C-Glied ei- \ \ \ \
nen voreilenden. Die Eingange beider Glieder • i 0,6
werden gemeinsam gespeist, weshalb sich die
Ausgangsstrome subtrahieren. Bei der Reso-
nanzfrequenz CDQ = 1/RC sind die Ausgangs- I 0,4 \\\l\\l / ^/ ^
strome beider T-Glieder gleich groB, aber ge-
genphasig und heben sich dadurch auf. (0

\\1
1
R R
• i i r 1 1 -
• I I * ! }

II 1 1 1 0,1 1 10
II ' 11
normierte Frequenz
c
c 4
2CZ c) Phasengang

1^ 90
2 ff ^1
i > <i
Bild 8-67. Doppel- T-Filter. 45
8- \ \^5\^^
Die DurchlaBkurve fur a = 0 in Bild 8-68 b zeigt
den resultierenden Ausgangsstrom des Netz-
werks als Funktion der Frequenz. Sie erklart
die ebenfalls iibliche Bezeichnung Notch-Filter
(engl.: notch: Kerbe, Einschnitt). Die Giite die-
45
ses Filters ist verhaltnismaBig gering. Bild 8-
68 a stellt eine geanderte Schaltung mit einstell-
barer Gute Q dar. Dabei wird die niederohmige
90
Ausgangsspannung des Filters auf den FuB- 0,1 1 10
punkt des Doppel-T-Netzwerks teilweise zu- normierte Frequenz
riickgekoppelt und sein dampfender EinfluB
vermindert, solange der Ausgangsstrom des Bild8-68. Bandsperre mit Doppel-T-Filter und ein-
Netzwerks nicht null ist. Die Verstarkung ist stellbarer Giite.
eins, wenn die Arbeitsfrequenz von der Reso-
nanzfrequenz weit entfernt ist. Bei der Reso- kung i; = 0,01). Bild 8-68 b und c zeigen den
nanzfrequenz ist sie idealerweise null. (Durch AmpHtuden- und Phasengang der Bandsperre
Bauteiltoleranzen bleibt leicht eine Restverstar- mit dem Notch-Filter.
8.5 Weitere wichtige integrierte Analogschaltungen 369

Diese Bandsperre mit einstellbarer Giite hat die Zener- oder Avalancheeffekt arbeiten. Diese
Ubertragungsfunktion Bandabstands-Referenzelemente und zahlreiche
andere Analogschaltungen gibt es fiir viele be-
sondere Anwendungsfalle preisgunstig. Die
letzte Gruppe ist so vielfaltig, daB die entspre-
chenden Schaltungen zweckmaBigerweise den
Mit den GroBen: COQ = 1/i^C, Q = CO/COQ und Datenbiichern und Ubersichtslisten analoger
dem Teilerverhaltnis a{0 <a< 1). Der Verstar- integrierter Schaltungen zu entnehmen sind.
ker V2 dient als Impedanzwandler, um die hoch-
ohmig abgegriffene Teilspannung am FuBpunkt 8.5.1 Komparatoren
des Doppel-T-Filters niederohmig einzuspei- Ein Komparator (Vergleicher) ist im Prinzip ein
sen. Operationsverstarker, der an der Schnittstelle
Setzt man das Doppel-T-Filter statt des Ruck- zwischen analogen und digitalen Schaltungen
fiihrwiderstandes R2 ein, dann entsteht ein Verwendung fmdet (z. B. in Analog-Digital-
Bandpafi. Bei der Resonanzfrequenz betragt der Wandlern). Er hat zwei Eingange, einen Diffe-
zuruckgefiihrte Strom zwischen 0% und 1% renzverstarker, eine Spannungsverstarkerstufe
des GroBtwertes. Deshalb erfolgt eine unbe- und eine Endstufe. Die Ausgangsspannung UQ
stimmte Gegenkopplung. Das Riickfiihrnetz- hangt nur von der Polaritat der Differenz der
werk kann man mit einem hochohmigen Wi- Eingangsspannungen ab. An beiden Eingangen
derstand iiberbriicken, der die Verstarkung be- gleichsinnig auftretende Steuerspannungen fiih-
grenzt und die Giite verringert. Dadurch lassen ren nicht zu einem Ausgangssignal; denn der
sich stabile und reproduzierbare Verhaltnisse Komparator hat eine gute Gleichtaktunter-
erzielen. driickung. Der Ausgangsspannungsbereich ist
kleiner als beim Operationsverstarker, da nur
8.4.3.7 Filter hoherer Ordnung die beiden logischen Pegel der Folgeschaltung
Durch Reihenschaltung mehrerer Filter 1. und erreicht werden miissen, 0 V fiir „0" und 2,5 V
2. Ordnung lassen sich deren Eigenschaften ver- bis 5 V fiir „1". Als digitales Bezugspotential hat
bessern, insbesondere die Flankensteilheit. Da- der Komparator meistens auch einen Masse-
bei wird aber die Verstarkung und die Phase im anschluB.
DurchlaB- und im Sperrbereich in der Nahe der Im Gegensatz zum Operationsverstarker wird
Grenzfrequenz erheblich beeinfluBt. Deshalb ist der Komparator normalerweise ohne Riick-
es im allgemeinen nicht zweckmaBig, gleich- kopplung betrieben. Dadurch entsteht kein ge-
artige Teilfilter zusammenzuschalten. Vielmehr schlossener Regelkreis, der durch ein Verzoge-
sollen die Grenzfrequenz und die Giite der Teil- rungsglied stabilisiert werden muB und deshalb
filter unter Beriicksichtigung der gesamten An- langsam wird. Der Komparator reagiert auch
forderung an Grenzfrequenz, Flankensteilheit, dann schnell auf eine Anderung der Eingangs-
Welhgkeit und Phasendrehung im DurchlaBbe- spannung, wenn er vorher in hohem MaB uber-
reich aufeinander abgestimmt werden. Um die steuert wurde, wahrend dieser Betrieb bei Ope-
verschiedenen Anforderungen erfiillen zu kon- rationsverstarkern zu interner Sattigung und
nen, werden entsprechende Filtertypen einge- entsprechend langen und unberechenbaren Ver-
setzt. zogerungszeiten fiihrt.
Viele Komparatoren haben einen Austast-
anschlufi (Strobe), mit dem der Komparator un-
8.5 Weitere wichtige integrierte wirksam gemacht wird. Er gibt dann, unabhan-
Analogschaltungen gig vom Eingangssignal, entweder eine „0" oder
den vorhergehenden logischen Zustand aus
Neben der groBen Gruppe der Operationsver- (Schaltzeichen s. Bild 8-69 a).
starker gibt es weitere standardmaBig genutzte Bei integrierten einfachen Komparatoren hegen
integrierte Analogschaltungen. Hierzu gehoren die Eingange, wie bei Operationsverstarkern,
die den Operationsverstarkern sehr ahnlichen oft auf den Anschliissen 2 und 3; sie haben ge-
Komparatoren, integrierte Spannungsregler, genuber diesen jedoch die umgekehrte Polari-
Spannungsstabilisatoren, die nicht nach dem tat. Damit wird ein elektrisch fragwiirdiger
370 8 Analoge integrierte Schaltungen

a) Komparator mit Anschlussen und Ausgang besitzen ein gemeinsames Bezugs-


potential (Masse), so daB keine Potentialtren-
UB + Strobe
nung zwischen dem Eingang und dem Ausgang
stattfmdet.
Der Regler besteht mindestens aus einer Refe-
renzspannung, einem Fehlerverstdrker und ei-
nem Stellglied. Die Referenzspannung stellt
den konstanten Sollwert dar. In der Praxis ist
der Fehlerverstarker als Operationsverstarker
b) Ein- und Ausgangsspannung eines Komparators und das Stellglied als Leistungstransistor aus-
gefuhrt.
Von einem Ldngsregler spricht man, wenn das
Stellglied zwischen dem Eingang und dem Aus-
gang des Spannungsreglers angeordnet ist, von
einem Shuntregler, wenn das Stellglied parallel
zum Ausgang, also zur Last R^ liegt. Bild 8-70 a
zeigt einen als Langsregler dienenden Span-
nungsregler.
a) Schaltung
O-f-
c) Komparator mit Hysterese und einstellbarer Schwelle
M)T
Referenzspannung Strobe

©" n«.
• "E

b) TO 220-Gehause

Bild 8-69. Komparator.


Tausch durch die AnschluBbelegung verhindert.
Das Verhaltnis von Ein- und Ausgangssignalen
gleicht denen des Schmitt-Triggers ohne Hyste-
rese (Bild 8-69 b). Beim Schaltungsaufbau ist auf
eine gute Entkopplung des analogen Eingangs- Bild 8-70. Spannungsregler fur U^> U^^^.
und des digitalen Ausgangskreises zu achten.
Bei ungiinstiger Leitungsfuhrung kann die steile Das StellgHed ist durch den n-Kanal-MOSFET-
Flanke der Ausgangsspannung iiber einen ge- Transistor und der Fehlerverstarker durch
meinsamen ohmschen Pfad oder induktiv auf einen Operationsverstarker dargestellt. Im aus-
den Eingang zuriickkoppeln, wodurch die geregelten Zustand ist die auf die Masse bezo-
Schaltung schwingen kann. Man kann den gene Spannung an beiden Eingangen des Feh-
Komparator durch eine Schmitt-Trigger-Be- lerverstarkers gleich groB, so daB die Differenz-
schaltung mit kleiner Hysterese gegen diese spannung null ist.
Storungen unempfmdlich machen (Bild 8-69 c). Weichen Ausgangs- und Referenzspannung
voneinander ab, dann wird die groBere Span-
8.5.2 Spannungsregler nung geteilt und auf dem Niveau der niedrige-
ren verglichen. Es gilt fur U^ > U^^^
Ein Spannungsregler formt eine in ihrem Wert
schwankende Eingangsspannung U^ in eine t/A=^Ref ( 1 + ^ 1 / ^ 2 ) . (8-48)
konstante Ausgangsspannung U^ um. Eingang
8.5 Weitere wichtige integrierte Analogschaltungen 371

Die Gleichung fur U^ < U^^f lautet als buried Zener-Diode (engl.: buried: eingegra-
ben) reaHsiert. Integrierte Referenz-Spannungs-
^A=^Ref^2/(^l+^2)- (8-49) quellen, die eine buried Z-Diode benutzen, sind
rauscharm und sehr stabil.
Nahere Erlauterungen sind in Abschn. 17.2.5 Kleine und temperaturstabile Referenzspan-
(lineare Regler) enthalten. Die vollstandigen nungen liefern sogenannte Bandgap-Referenz-
Regler gibt es fiir alle iiblichen positiven und elemente (engl.: bandgap: Bandliicke). Beim
negativen Spannungen, integriert in einem Ge- Bandgap-Prinzip wird auf die Basis-Emitter-
hause mit mindestens drei Anschliissen. Bild Schwellspannung U^^ eines Transistors eine
8-70 b zeigt einen Spannungsregler im TO-220- zweite Spannung mit entgegengesetzt gleich
Gehause. groBem TK aufgestockt und bildet dadurch eine
konstante und temperaturstabile Summenspan-
8.5.3 Bandgap-Referenzelement nung (7R,f.
Referenzspannungen sind stabile Spannungen, Der genaue Wert des Temperaturkoeffizienten
die man als BezugsgroBe verwendet. Aus ihnen TK ist von der Stromdichte des Transistors ab-
lassen sich Spannungen ableiten, die beispiels- hangig und laBt sich berechnen. In einer inte-
weise in Stromversorgungen, Digital-Analog- grierten Schaltung kann die Stromdichte im
und Analog-Digital-Wandlern und anderen Kollektor durch die Wahl der TransistorgroBe
elektronischen Schaltungen benotigt werden. selbst bestimmt werden. Der Temperaturkoeffi-
Referenzspannungen erzeugt man auf zwei ver- zient der Basis-Emitter-Strecke wird bei einer
schiedene Arten. Beim Zener- oder Avalanche- Summenspannung von etwa U^Q = 1,204 V, der
Durchbruch einer in Sperrichtung betriebenen sogenannten Bandgap- oder Bandliickenspan-
Diode steigt der Strom oberhalb der Durch- nung U^Q des Siliciums kompensiert.
bruchspannung stark an. Beim Bandabstands- Als Bandluckenspannung bezeichnet man die-
oder Bandgap-Prinzip wird die Basis-Emitter- jenige Spannung, die zur tJberwindung der
spannung eines Transistors in DurchlaBrich- Bandliicke zwischen dem Valenz- und dem Lei-
tung und ein ihr proportionaler Spannungs- tungsband eines Halbleiters erforderlich ist.
abfall an einem Vorwiderstand zu einer nieder- (Die Bandliickenspannungen U^Q liegen im Be-
ohmigen und temperaturunabhangigen Refe- reich von 1,11 V<C/BG < 1,205 V.) Durch die
renzspannung addiert. Bandgap-Referenzele- definierte Verstarkung eines nachgeschalteten
mente sind einfache integrierte Schaltungen mit Operationsverstarkers (Abschn. 8.3.2) kann die
genau dimensionierten Elementen. Beide Typen Referenzspannung auf eine in der Praxis beno-
fmden in diskreten und in integrierten Schal- tigte Spannung von 2,5 V, 5 V und 10 V angeho-
tungen Verwendung. ben werden. Zwei- und mehrpohge Ausfuhrun-
Z-Dioden mit kleinen Spannungen besitzen gen sind im Gebrauch. Zweipole kann man bei
einen negativen Temperaturkoeffizienten, kurz Berucksichtigung ihrer dynamischen Eigen-
TK genannt, da der Zener-Effekt iiberwiegt. schaften wie Zenerdioden einsetzen. Mehr-
Beispielsweise hat die' 2,5-Volt-Zener-Diode pohge Referenzelemente lassen sich sowohl als
1 N 5222 einen TK = - 0,085 %/K, wahrend der Stromquelle als auch als Stromsenke beschal-
100-Volt-Typ 1 N 5271 einen positiven Tempe- ten, wenn der integrierte Verstarker eine Gegen-
raturkoeffizienten von TK = 0,11 %/K aufweist. takt-Ausgangsstufe besitzt. Eine Ubersicht uber
Bei Dioden mit hohen Durchbruchspannungen gebrauchliche Bandgap-Referenz-Spannungs-
iiberwiegt der Avalanche-Effekt mit seinem quellen sind in Tabelle 8-3 dargestellt.
positiven TK. Bei Z-Dioden zwischen 5 V und Anhand der in Bild 8-71 dargesteUten Schaltun-
5,6 V sind beide Effekte ungefahr gleich stark, gen wird die Funktion einer Bandgap-Referenz
und der Temperaturkoeffizient wird sehr klein. erlautert. Ein Teil der Schaltung (Bild 8-71 a),
Zur Erzeugung einer Referenzspannung mit bestehend aus den beiden Transistoren T^ und
einer Zener-Diode wird eine Versorgungsspan- T2 sowie den Widerstanden R^ und R2, bildet
nung von mindestens U^f>9W benotigt, um eine Stromquehe, wie sie von WIDLAR beschrie-
einen ausreichend hochohmigen Vorwiderstand ben wurde. Da die Basis-Emitter-Spannung
zu verwenden. Z-Dioden innerhalb integrierter ^BE(Tl) = ^BE(T2) + ^ 2 ^ 2 H m u B I^>l2 SCln.
Referenz-Spannungsquellen werden meistens Ist die Spannung U^ fest, dann ist der Kollek-
372 8 Analoge integrierte Schaltungen

Tabelle 8-3. Typen von Bandgap-Referenzelementen.

Span- Tol. TK Typen Strom Impe- Bemerkungen


nung danz
U % ppm min max Z
V K jiA mA Q
(mV)

1,23 1,63 10 ICL8069A 50 10 <2

1,235 <1 >20 LT 1004-1.2 10 20 <1,5 2-polig (Shunt)


1 20 LM185 10 20 <1,5 2-polig
1 <20 LT1034B 20 20 <2 3-polig, zusatzliche 7 V Referenz

2,49 2 (18) LM136 400 10 0,6 trimmbar. Shunt


TIL 431 1 <0,5 Shuntregler bis 36 V

2,5 0,05 10 LT1019A-2.5 1,5 <0,08 3-pohg, interner Heizwiderstand,


trimmbar
0,2 10 AD 584 10 1,5
<1 >20 LT 1004-2.5 20 20 <1,5 2-polig
1 25 AD 580S 10 1,5

5 0,05 10 LT1019A-5 1,5 <0,08 sieheLT1019A-2.5


0,2 20 LT 1029 AM 600 10 0,6 LM 136-5 "
0,3 8,5 REF02 <0,5 trimmbare Spannungsquelle
interner Temperatursensor
1 5 LT1021BM-5 1,5 <0,75 3-poUge Zener-Referenz
2 (36) LM 136-5 400 10 0,6 trimmbar

10 0,05 5 LT1031BM 1,7 0,25 3-polige Zener-Referenz


0,05 10 LT1019A-10 1,5 <0,08 sieheLT1019A-2.5
0,1 15 AD 581 T 1
0,3 8,5 REFOl <1 siehe REF 02
0,5 5 LT1021BM-10 1,5 <1,5 3-poUge Zener-Referenz

torstrom I2 unabhangig von der Kollektor-


(AC/gEdl) A(7BE(T2))^3
Emitterspannung UQ^ des Transistors T2. Der AL^Ref=Al/BE(T3) +
KollektoranschluB des Transistors T2 wirkt wie R.
(8-50)
eine Stromsenke. Die Schaltung wird in analo-
gen integrierten Schaltungen haufig verwendet, Den DurchlaBstrom I^ eines pn-Ubergangs be-
weil sie einen hohen Innenwiderstand mit gerin- schreibt man nach Shockley (W SHOCKLEY, 1910
gem Spannungsabfall verbindet (Abschn. 8.2). bis 1989) mit folgender Beziehung:
Bild 8-71 b zeigt die Innenschaltung eines Band-
gap-Referenzelementes. Der Widerstand Ry ge-
hort zur externen Beschaltung und dient zur wobei Uj die Temperaturspannung {Uj ^
Strombegrenzung. Die Referenzspannung ist 26 mV) (Abschn. 3.1.3.1) und I^ der Sattigungs-
^Ref = ^BE (T3) + ^ 2 ^ 3 ' Unter Vernachlassi- Sperrstrom dieses Halbleiters ist. Aus Abschn.
gung der Basisstrome (die Stromverstarkung j5 1.8.7 folgt:
ist hoch) gilt
JJI^ = Q(U^^/UT) o^ier In IB UBE
t/iB E ( T l ) • •t/,BE(T2) UJ '
/,=
R2 Fur die Temperaturspannung gilt Uj = k T/CQ .
und die Anderung der Referenzspannung mit T ist die absolute Temperatur in K, k die
der Kristalltemperatur wird Boltzmannkonstante {k= 1,3806 • lO^^ J/K) und
8.5 Weitere wichtige integrierte Analogschaltungen 373

^0 die Elementarladung (e^ = 1,6022 • IQ-^^ C) des Die Kristalltemperatur ist T^ und / i > / 2 -
Elektrons. Mit diesem Zusammenhang ergibt Durch die Kombination der Gin. (8-50) und
sich (8-51) erhalt man

l n / B - l n / s = 7^^BE Oder AL/^,,=A17BE(T3) (8-52)


+ ^T,K(R,|R,)\n{IJI,),
T - ( l n / B - l n / s ) = C/BE

mit /g = /c/j8 Oder In/g = In/^ — In j8. Die Anderung der Basis-Emitter-Spannung
Durch Einsetzen der Beziehung I^ = I^/P und A(7BE(T3) ^^ zunehmender Temperatur ist
der Konstanten K = kje^ sowie anschlieBendes negativ und der Ausdruck \n(IJI^ ist wegen
Logarithmieren erhalt man Ii>l2 positiv. Die Anderung der Referenzspan-
nung At/^ef kann durch die Wahl des Stromes I^
TK(ln/c-lnj?-ln/s)=(7BE. und des Widerstandsverhaltnisses R2/R2 zu
Die Ausdriicke InjS und In/^ sind konstant, so null gemacht werden. Dies ist der Fall, wenn die
daB sich fiir A [/BE folgendes schreiben laBt Referenzspannung U^^^ die GroBe der Bandliik-
kenspannung U^Q erreicht.
AC/BEi=ArjKln/i und Zur Analyse des Temperatureinflusses auf die
(8-51) Referenzspannung 1/^^^ laBt sich zeigen, daB die
Spannungsdifferenz zwischen den Emitter-
Basis-Strecken zweier identischer Transistoren,
a) Stromquelle nach Widlar die bei unterschiedlichen Kollektorstromen ar-
Q beiten, sich wie folgt verhalt:
_kT (I^
^ B E ( T l ) ~ ^BE(T2) — ^^ I "7"

Die Temperaturabhangigkeit der Basis-Emit-


Ti ter-Spannung laBt sich schreiben als

( & O^O ^ B E ( T 3 ) ( ^ ) ~ ^BEO ~^


dl/„
dr
(T-
(8-53)
D
-o— Die Spannung l/gE (TB) entspricht etwa der Dif-
fusionsspannung U^ und hat 'daher dieselbe
b) Bandgap-Referenz mit drei Transistoren Temperaturabhangigkeit (als Diffusionsspan-
nung bezeichnet man die Potentialdifferenz
-o
zwischen dem p- und dem n-Gebiet eines pn-
Rv Ubergangs, die infolge der Diffusion der beweg-
lichen Ladungstrager entsteht). TQ ist die Be-
zugstemperatur. Fiir die Diffusionsspannung
gilt

^ '-hrt) kT
u, = - In

Dabei sind n^ die Akzeptorkonzentration, n^


die Donatorkonzentration mit beispielsweise
^Reff n^ = l-W^cm "^ und ^0 = 2-10^^cm Als
intrinsische Trdgerdichte wird n-^ bezeichnet, die
leicht temperaturabhangig ist. Sie betragt bei
Bild8-71. Bandgap-Referenz mit drei Transistoren. T= 300 K fur Silicium n^ = 1,02 • 10^^ cm~
374 8 Analoge integrierte Schaltungen

ten, zu erhalten, wird diese Gleichung differen-


ziert. Man erhalt
dU. U^ U^
+ -^-—In
Mit dT •+- R. en
-£BG
n: = nnQ 2kT und Wahlt man die Bandgap-Spannung U^Q »
^BG "" ^ B G / ^ O '
1,204 V, die Widerstande ^ 2 ^ ^ ^ ^ 3 sowie den
wobei eine schwache Temperaturabhangigkeit
Strom / i so aus, daB
von HQ gegeniiber der e-Funktion vernachlas-
sigt wird, ergibt sich /i ^0
-In = [t/B U,BE0(T3)J
R. kTo
ist, dann erhalt man dU^^f/dT=OY und so-
mit eine temperaturstabile Bandgap-Referenz
(TK=0).
Damit ist der Temperaturkoeffizient der Basis-
Emitter-Spannung Hinweise fiir die Praxis
UBE UBG Wenn man integrierte Referenzspannungen zur Uber-
wachung von Versorgungsspannungen verwendet,
dT T T
dann sollte man, um eine Fehlermeldung zu vermei-
Die Energie W zur Uberwindung der Band- den, solche Typen wahlen, deren Ausgangsspannung
liicke zwischen dem Valenz- und dem Leitungs- beim Hochlaufen ihrer Versorgungsspannungen pro-
portional zu dieser ansteigen. Es sollte also ein linea-
band bezeichnet man mit E^Q, und die daraus
rer Zusammenhang zwischen der Versorgungsspan-
resultierende Spannung U^Q als Bandliicken- nung und der Ausgangsspannung des Referenzele-
spannung. Bezieht man den Ausdruck auf eine ments bestehen.
Bezugstemperatur (z. B. 300 K), dann ist
Zur Ubung
Bei alien Aufgaben ist die Schaltung und die Dimen-
sionierung vorzuschlagen.
ij 8.5-1: Eine Tonfrequenzspannung aus einer hoch-
Setzt man die Gleichung (8-56) in die Gleichung ohmigen Quelle soil um i; = 20 verstarkt werden.
(8-52) ein, so ergibt sich die Basis-Emitter-Span- U 8.5-2: Drei Spannungen sollen linear addiert und
nung des Transistors T3 zu mit verschiedenen Skalenfaktoren verstarkt werden.
Die Verstarkung betrage jeweils v^ = 5, t;2 = 10 und
^BE(T3)(^ ) v^ = 20.
T T if 8.5-3: Innerhalb eines VielfachmeBgerates mit Ver-
— ^BEO TfT + ^BGO 1 (8-57) starker mu6 Wechselspannung gleichgerichtet wer-
den. Ein- und Ausgang sind auf Masse bezogen. Die
Schaltung soil den Effektivwert der sinusformigen
Die Bandgap-Spannung ist U^Q, und die Basis- Eingangsspannung als Gleichspannungsmittelwert
Emitter-Spannung ist UBEO • ^^^ Referenzspan- mit gleichem Zahlenwert ausgeben. Fiir den Gleich.-
nung kann nun ausgedruckt werden als spannungsmittelwert giU UQ = 1,11 L/^ff = \,\\u/^2.

t/Ref=t/B

+ •
R,kT.
1-

In
T
m U 8.5-4: Es soil ein aktiver TiefpaB 1. Ordnung mit
der Verstarkung i; = 20 und der Grenzfrequenz
/g = 1 kHz dimensioniert werden.
U 8.5-5: Einem Tonfrequenzsignal ist ein kleiner Be-
trag der Netzfrequenz mit 50 Hz iiberlagert. Eine
50-Hz-Bandsperre soil diese Frequenz unterdrucken.
Um die Anderung der Referenzspannung iiber Die Schaltung ist zu bestimmen und zu dimensionie-
der Temperatur, d.h. den Temperaturgradien- ren.
9.1 Digital-Analog-Wandler (DA-Wandler) 375

9 Digital-Analog- und immer zuerst durch den Analog-Digital- (AD)


und erst gegen Ende der Verarbeitung durch
Analog-Digital-Wandler den Digital-Analog-(DA) Wandler. Der DA-
Wandler sei zuerst beschrieben, da er einfacher
In vielen Prozessen fallen die Daten in elek- aufgebaut ist und das Verstandnis fur einige
trischer Form an oder werden zuerst in ein elek- AD-Wandler erleichtert.
trisches Analogsignal umgesetzt. Dieses Ana-
logsignal steht fiir die weitere Verarbeitung zur 9.1 Digital-Analog-Wandler
Verfugung. Beispielsweise in einer Regelung,
beim Telefon, Funk oder Fernsehen libertragt (DA-Wandler)
man es liber groBe Entfernungen oder speichert DA-Wandler (engl.: digital to analog converter;
es fiir eine spatere Nutzung, wie bei der Schall- DAC) erhalten am Eingang meistens eine binar
platte oder dem Tonband. Bei dieser analogen kodierte Zahl (Digitalwort): in Ausnahmefallen
Verarbeitung entstehen durch geringe Abwei- laBt sich mit einem entsprechend dimensionier-
chungen der Ubertragungselemente vom idea- ten Wandler auch eine BCD-Zahl umsetzen.
len Verhalten Fehler, die sich mit zunehmender Bild 9-1 zeigt den einfachsten DA-Wandler.
Anzahl der beteiligten Elemente summieren. Eine konstante Referenzspannung U^^^ speist
Diese zusatzlichen Fehler lassen sich mit hohem uber digital gesteuerte Schalter S^ bis Sg und
Aufwand in Analogsystemen nur verringern, in hindr gestufte Widerstdnde Strom in den Knoten
Digitalsystemen bei richtiger Auslegung jedoch eines addierenden Operationsverstarkers ein.
verhindern. Der vom Netzwerk in den Knoten flieBende
Die Verarbeitung in Digitalrechnern, beispiels- Strom ist dem Produkt aus der Referenzspan-
weise in Mikroprozessoren, benutzt digitale Si- nung und der angelegten Digitalzahl proportio-
gnale am Ein- und Ausgang. Hierzu setzt man nal. Der Operationsverstarker stellt die Aus-
die anfallenden analogen Daten in digitale um gangsspannung so ein, daB der Strom durch
und wandelt sie nach der Verarbeitung oder der den Riickfiihrwiderstand R/2 den Strom aus
Ubertragung wieder in die benotigte analoge dem Netzwerk genau kompensiert. Die Aus-
Form zuruck. Die heute angebotene groBe Viel- gangsspannung ist dem angelegten Digitalwort
falt an Wandlern deckt ein groBes Leistungs- proportional, ihre Polaritat ist der Referenz-
spektrum ab, das mit verschiedenen Wand- spannung entgegengesetzt.
lungssystemen und Herstellungstechnologien
zu erreichen ist. Das Ziel der intensiven Ent-
wicklung ist die weitere Steigerung der Ge- 9.1.1 R-2R-Leiternetzwerk
nauigkeit und Geschwindigkeit; die Grenzen Bei den heute iiblichen Wandlern speisen n
sind derzeit nicht absehbar. Das Signal lauft fast binar gestufte Referenzspannungen iiber digital

MSB LSB
2' 2' 2^ 20

i 7 S,y SaV s}/ S,y s}/ S,y Ss*/

R/2
2R 4R 8R 16R 32R 64R 128R

t /2 I /3 I /4 I /s Me j /7 I /8
i i i i i i i—^—^
11
+
Bild9-1. DA-Wandler mit binar gestuften Widerstdnden.
376 9 Digital-Analog- und Analog-Digital-Wandler

gesteuerte Schalter und gleiche Widerstdnde lastet. Damit besteht der erste Teiler aus dem
Strom in den summierenden Knoten eines Ope- Langswiderstand RIQ = R und dem Querwi-
rationsverstarkers. Die Strome erzeugt man aus derstand Rii=2R, der mit dem Eingangs-
der Referenzspannung mit Hilfe eines R-2R- widerstand 2R des nachsten Elements, R20 und
Netzwerks, das fur einen n-Bit-Wandler (2n +1) i^2i» belastet ist. Der Spannungsteiler aus
Widerstande mit nur zwei verschiedenen Wer- R^Q = R und den beiden parallel geschalteten
ten enthalt, namlich R und 2R. Das R-2R-Netz- Widerstanden R^^ =2R und dem Eingangswi-
werk laBt sich leichter mit groBer Genauigkeit derstand 2R der folgenden Stufe halbiert die
herstellen als binar gestufte Widerstande mit Referenzspannung U^^^ zu U^ (Bild 9-2 b). Die-
dem erforderlichen groBen Werteverhaltnis. ser Vorgang wiederholt sich bei jedem weiteren
zugeschalteten Spannungsteiler. Damit halbiert
a) Reihenschaltung gleichartiger Leiterelemente
sich auch der Strom im jeweils nachsten Ele-
Rio ^^2 0 "3 0 ment dieses Leiternetzwerks. Bedingung fiir
diese Stromaufteilung ist ein gleiches Bezugs-
potential fur die Referenzspannung und die
FuBpunkte der Querwiderstande. Das letzte
Element schheBt mit dem Widerstand 2R ab,
weshalb die Referenzspannung {7^^^ stets mit
dem Last widerstand 2R belastet wird, und
zwar unabhangig von der Anzahl n der Ele-
mente und der Stellung der spater hinzukom-
b) Widerstandsverhaltnisse an einem Element des menden Schalter. Der Eingangswiderstand des
Netzwerks Leiternetzwerks fiir die Referenzspannung be-
tragt immer R^ = 2R.

:2R=^
n Eingangswiderstand 9.1.2 Multiplizierender DA-Wandler
2 " 1] des nachsten
T Elementes Bild 9-3 zeigt einen 8-Bit-DA-Wandler mit
einem Leiternetzwerk. In den Querwiderstan-
Bild 9-2. R-2R-Leiternetzwerk mit Stromen. den 2R flieBen von links nach rechts abneh-
mende binar gestufte Strome. Abhangig von der
Bild 9-2 a zeigt die Widerstands- und Stromver- jeweiligen Schalterstellung flieBen diese Strome
haltnisse in einem R-2R-Netzwerk. Es besteht in den gemeinsamen Massepunkt (Schalterstel-
aus n gleichen Spannungsteilern, jeweils aus lung 0) oder in den fiktiven Massepunkt am
einem Langswiderstand R^Q = R und einem summierenden Knoten des nachfolgenden Ope-
Querwiderstand, R^^ =2R, mit i = 1 bis n und rations verstarkers (Schalterstellung 1).
ist mit 2 R abgeschlossen. Jeder Spannungsteiler Die Ausgangsspannung des Operationsverstar-
ist mit dem nachsten Glied aus R und 2R be- kers stellt sich so ein, daB der Eingangsstrom I^

Bit Nr. 1 2 3 4 5 8
MSB LSB

Bild 9-3. Multiplizierender DA - Wandler.


9.1 Digital-Analog-Wandler (DA-Wandler) 377

durch den iiber R^ zuriickgefuhrten Strom vier oder zwei Schalttransistoren parallel, wo-
kompensiert wird. 1st die Verstarkung des DA- durch der hohere Strom iiber einen niederohmi-
Wandlers gleich eins, d.h., ist der Ruckfiihr- gen Schalter flieBt, und der Spannungsabfall
widerstand i^^ = 2 K, dann gilt fur die Aus- konstant bleibt.
gangsspannung U^ Fiir das angelegte Datenwort sind zwei unter-
schiedhche Bezeichnungen liblich. In Bild 9-3
wird das hochstwertige Bit (MSB: Most Signifi-
cant Bit; Abschn. 11.1.1) mit „1" bezeichnet und
das niedrigstwertige Bit (LSB: Least Significant
Dabei ist X der Wert der angelegten Binarzahl, Bit) mit „8". Bei einem Datenbus (z. B. bei einem
n die Bit-Breite des DA-Wandlers und U^^f die Mikrorechner) beginnt der Bus mit DB 0 (LSB)
angelegte Referenzspannung. Da die Ausgangs- und endet bei DB7 (MSB). Dabei sind zwei
spannung dem Produkt aus der Binarzahl X verschiedene Bezeichnungen iiblich: Die eine
und der Referenzspannung 11^^^ proportional geht von 1 bis n und die andere von 0 bis (n — l).
ist, bezeichnet man diesen Wandler als multipli- (Bild 9-13 zeigt einen AD-Wandler mit direktem
zierenden DA-Wandler. Die Schaltung eignet Bus-AnschluB.)
sich zur Multiplikation einer Analogspannung
mit einem digital eingegebenen Faktor. Die Multiplizierende DA-Wandler stellt man gern
Analogspannung ist in weiten Grenzen frei; es monolithisch in CMOS-Technik her, wobei die
kann eine Gleichspannung oder eine peri- erreichten Genauigkeiten mit fortschreitender
odische oder nichtperiodische Wechselspan- Technologic immer besser werden. Inzwischen
nung sein (z.B. eine Tonfrequenz). In diesem kann man 16 Bit Auflosung und 14 Bit bis
Zusammenhang nennt man den multiplizieren- 15 Bit Linearitat erreichen. Die Widerstande
den DA-Wandler auch elektronisches Potentio- des Leiternetzwerks, die schaltenden Feldeffekt-
meter. transistoren und die Ansteuerlogik werden auf
einem Sihciumkristall aufgebaut. Die Schaltung
Der Wandler ist so genau wie die Teilstrome
muB wenig oder gar nicht abgeglichen werden.
in den einzelnen Querwiderstanden. Fehler im
Monohthische CMOS-Wandler erreichen zwar
Widerstand des MSB verursachen einen ent-
sprechenden Gesamtfehler, wahrend Wertetole- nicht die hohe Genauigkeit oder Schnelligkeit
ranzen der niederwertigen Bits entsprechend der hybriden Wandler, sind aber wegen des
verringert eingehen. Nach Gl. (9-1) beeinflussen geringeren Herstellungsaufwandes erheblich
nicht die Absolutwerte der Widerstande im kostengiinstiger.
Netzwerk die Genauigkeit, wohl aber deren
Verhdltnis. Hierbei ist das R-2R-Leiternetzwerk
vorteilhaft, well es fast nur gleichartige Wider-
stande enthalt, die sich gut und mit geringen
Toleranzen herstellen lassen. Der bei alien Wi-
derstanden gleiche Temperaturgang beeinfluBt
die Widerstands- Verhdltnisse auch bei sich stark
andernder Umgebungstemperatur nicht; ferner
wird der einzige maBgebende Widerstand au-
Berhalb des Leiternetzwerks, der Riickfuhrwi-
derstand KR, meistens zusammen mit dem
Netzwerk auf einem Substrat hergestellt. Das
Leiternetzwerk baut man haufig aus Wider-
standen mit 10 kQ und 20 kQ oder 25 kQ und OUT 2
50 kQ auf. Bild 9-4. MOSFET- Umschalter im DA- Wandler.
Eine weitere Fehlerquelle ist der ohmsche Wi-
derstand des Schalters im EIN-Zustand. Er ist Bild 9-4 zeigt einen MOSFET-Umschalter mit
voU zum jeweiligen Widerstandswert zu addie- einem Element des Leiternetzwerks. Der durch
ren. Der Widerstand des Schalters stort beim den Querwiderstand 2R kommende Strom
MSB am meisten. Deshalb schaltet man zur flieBt entweder durch T^ in den Ausgang OUT 1
Korrektur bei den hochwertigen Stellen jeweils oder durch T2 in den Ausgang OUT 2. Leek-
378 9 Digital-Analog- und Analog-Digital-Wandler

strome iiber das Gate oder iiber die Drain- a) Schaltbild


Source-Strecke des gesperrten Transistors sind MSB LSB
vernachlassigbar klein, weshalb lediglich der
Bit 1 2 8
Restwiderstand und der Temperaturgang des
durchgeschalteten Transistors die Genauigkeit
des Wandlers spiirbar storen konnen. Die
Schalttransistoren der hochwertigen Bits haben
hier oft eine dem Strom proportionale Arbeits-
flache und einen entsprechend kleinen Wider-
stand.

9.1.3 Vier-Quadranten multiplizierender


DA-Wandler b) Teilstrome und Ausgangsspannung
Der Schalter nach Bild 9-4 hat giinstige Eigen- Ausgangsspannung
schaften: Die Leckstrome sind vernachlassigbar
und der EIN-Widerstand ist vom Betrag und
der Polaritat des geschalteten Stroms unabhan-
gig. Die Verhaltnisse bleiben erhalten, auch
wenn sich der Pegel und die Polaritat der Refe-
renzspannung andert. Dieser Wandlertyp laBt
sich deshalb iiber einen groBen Bereich der Re-
ferenzspannung, beispielsweise von —15 V bis c) Zusammenhang aus digitaler EingangsgroBe,
+15 V, betreiben. Entsprechend der GroBe und Referenzspannung L/pef und Ausgangsspannung Ug
dem Betrag der Referenzspannung andert sich
analoge Ausgangsspannung
auch die GroBe und der Betrag der Ausgangs-
spannung. Zu beachten ist, daB die Offsetspan- (^Ref negativ, groR
nung des nachgeschalteten Operationsverstar-
kers bei abnehmendem Betrag der Referenz-
L/pefnegativ, klein
spannung immer mehr EinfluB gewinnt.
^ Digitale
Die Schaltung eignet sich zur Multiplikation ^ EingangsgroBe
der Referenzspannung mit der digitalen Zahl X.
Wenn der zweite Stromausgang des DA-Wand- ;: L/Ref positiv, klein
lers nicht mit Masse verbunden wird, sondern
L/Ref positiv, groB
auf den Knoten eines zweiten Operationsver-
starkers arbeitet, dessen Ausgangssignal vom Bild 9-5. Vier-Quadranten multiplizierender DA-
ersten subtrahiert wird, kann man nicht nur Wandler.
positive und negative Referenzspannungen,
sondern auch positive und negative digitale Da-
ten miteinander verkniipfen. Bild 9-5 a zeigt die nung des DA-Wandlers von + [/^ (1 -1/256) bis
Schaltung eines Vier-Quadranten-Multiplizie- -17^, wenn die digitale Zahl von 00 000 000 bis
rers. 11111111 geandert wird und die Referenzspan-
Der Ausgang OUTl mit dem Strom I^ arbeitet nung positiv ist. Der Eingang 01 111 111 fiihrt
wie bekannt auf den Knoten eines invertie- zur Ausgangsspannung OV. Beide Eingange,
renden Operationsverstarkers. Der Ausgang der digitale und der analoge, konnen positiv
OUT 2 ist nicht wie bisher mit Masse verbun- und negativ werden. Der Wandler gibt das Er-
den, sondern arbeitet auf den Knoten eines gebnis stets mit dem richtigen Vorzeichen aus,
zweiten invertierenden Operationsverstarkers. d.h., er arbeitet in alien vier Quadranten und
Bild 9-5 b zeigt die Strome I^ und 12 abhangig heiBt deshalb auch Vier-Quadranten-Multipli-
von der angelegten digitalen Zahl. zierer. Bild 9-5 c zeigt die analoge Ausgangs-
Definiert man das MSB als Vorzeichen und spannung als Funktion der digitalen Eingangs-
nimmt das Einer-Komplement fur negative groBe mit der variablen Referenzspannung als
Zahlen, dann andert sich die Ausgangsspan- Parameter.
9.1 Digital-Analog-Wandler (DA-Wandler) 379

9.1.4 DA-Wandler mit fester Durch die Hybridtechnik ist fur jedes Element
Referenzspannung (Netzwerk, Stromquelle und Schalter) die ge-
naueste Technologic verfugbar. Die Steuerspan-
Beim DA-Wandler nach Bild 9-3 geht der Span- nung an der Basis der Transistoren erzeugt man
nungsabfall am Schalter direkt als Fehler in das intern iiber einen Vergleichszweig. Zusatzlich zu
Ausgangssignal ein. Entsprechend korrigierte den Stromquellen des Netzwerks wird ein wei-
Querwiderstande oder eine geringfugig erhohte terer Transistor, im Bild 9-6 b ganz links, mit
Referenzspannung konnen den Fehler nur teil- dem Strom der MSB-Stromquelle betrieben.
weise kompensieren, da er haufig temperatur- Die Referenzspannung erzeugt am Widerstand
abhangig ist. R^ einen Strom I^ = U^^JR^. Die Hilfsspan-
Beim DA-Wandler nach Bild 9-6 a speist das nung U^i am Ausgang des Operationsverstar-
bekannte R-2R-Netzwerk binar gestufte Strome kers stellt sich so ein, daB die Strome I^ und 12
in die Emitter von Transistoren, deren Basis- gleich groB sind. Da der Basisstrom sehr klein
anschliisse auf einem festen Potential liegen. ist, gilt mit guter Naherung 1^ = 12. Damit ist
Jeder KoUektor stellt eine Stromquelle (bzw. I^ = I^= /R/2. Die Referenzspannung (7^^^ und
eine Stromsenke) dar, die geUeferten Strome der Strom 7^/2 des MSB stehen damit in einem
nehmen von hnks nach rechts binar gestuft ab. festen und von der Temperatur nicht mehr ab-
Spannungsabfalle am Schalter werden jetzt von hangigen Verhaltnis. Die Verbesserung multi-
der Stromquelle aufgebracht, ohne daB der plizierender DA-Wandler begrenzt den Anwen-
richtige Teilstrom verandert wird. dungsbereich hybrider Prazisionswandler auf
Diese DA-Wandler baut man ixberwiegend als hochauflosende Typen.
Hybridschaltungen (Abschn. 1.9.6). Sie beste-
hen aus einem meist lasergetrimmten R-2R- 9.1.5 Datenwandler mit mikroprozessor-
Netzwerk in Schichttechnik, gleichartigen Tran- kompatibler Schnittstelle
sistoren, wobei beim MSB oft 8 Transistoren,
DA- und AD-Wandler betreibt man haufig direkt
beim nachsten vier usw. parallel geschaltet sind,
zusammen mit Mikrorechnem. Der auBeren ana-
um fiir jedes Bit moglichst gleiche Verhaltnisse
logen Schnittstelle steht die digitale zum Mikro-
zu erreichen (Bild 9-6 b).
rechner gegentiber. Um Entwicklungsaufwand
a) Schaltbild und Platz auf der Leiterplatte zu sparen, gibt es
Datenwandler, deren digitale Schnittstelle direkt
an den Daten- und KontroUbus eines Mikrorech-
ners anzuschlieBen ist. Bild 9-7 zeigt das Block-
schaltbild eines DA-Wandlers mit einer Mikro-
rechner- S chnitts telle.
Der Trend geht zum seriell angekoppelten Daten-
wandler, der direkt mit dem Mikroprozessor
kommuniziert.
Beim DA-Wandler hat der Datenbus nur hoch-
ohmige Eingange (engl.: data inputs), die stets
am Bus hegen, aber nur bei Bedarf durchge-
b) GroBere Transistoren fur die hochwertigen Bits schaltet werden. Hierzu dienen drei Steuerein-
gange, welche die anhegenden Daten in einem
I, Re '
vorgeschalteten Latch-Register mit den Signa-
len HBE (High Byte Enable), MBE und LBE
zwischenspeichern, von wo aus sie mit dem Si-
gnal LDAC (Load DA-Converter) in das eigent-
hche Steuerregister weitergeschaltet werden
und kurz danach als gewandelter Analogwert
am Ausgang erscheinen. Die Ansteuereingange
HBE, MBE, LBE oder CS (Chip Select) werden
Bild9-6. DA-Wandler mit binar gestuften Strom- iiber dem Rechner zugeordnete Dekoder aus
quellen. dem AdreBbus erzeugt, wie das bei Speichern,
380 9 Digital-Analog- und Analog-Digital-Wandler

DATA INPUTS SUM 5V


MSB LSB JCT RANGE
16?17?18?199 20921922?23? 24?25?269277 12'

Cioi
H HIGH BYTE MIDDLE BYTE LOW BYTE

u <z
INPUT REG INPUT REG INPUT REG
CSoi n
WRO-^
6 CONTROL
HBEO-
5 LOGIC
MBEO— \—\ DAC REGISTER t^H 12-BIT MDAC
7
LBEO—
LDACo- REFERENCE
> \
HS 9338

13
6
+ 5 V - 1 5 V + 15V GND GAIN BIPOLAR
Bild9-7. Digital-Analog-Wandler mit Mikroprozessor-Schnittstelle. Werkbild: Sipex.

Ports und anderen peripheren Teilen auch ge- ten. Der rote Schaltungszusatz nach Bild 9-8
schieht. Verbindet man die Anschliisse 12 und blendet diese Storung aus; er heiBt deshalb De-
13, dann entsteht der im Bild 9-5 beschriebene glitcher (Abschn. 13.4).
Vier-Quadranten-Multiplizierer. Bild 9-8 a zeigt ein typisches der vielen denk-
baren Beispiele fur die Anwendung eines De-
9.1.6 Unerwiinschte Spitzen glitchers, Bild 9-8 b das zugehorige Impuls-
beim Weiterzahlen des digitalen
Eingangswertes a)BI(Dckschaltbild
Takteingang
Wechseln beim Weiterzahlen des digitalen Ein-
gangswerts um ein LSB ein hochwertiges Bit
und viele niederwertige Bits ihren Wert, dann
kann am Ausgang des DA-Wandlers fur eine 0, Adressenzahler
kurze Zeit eine unverhaltnismaBig groBe Stor-
spitze auftreten. Dies geschieht beispielsweise, 1 ]f f ' ' T '^ f ' f ' f '\ ' f f f ' f
wenn ein 8-Bit-DA-Wandler zuerst die Zahl 127
und danach 128 in den Analogwert wandeln
soil und das MSB eins wird, wahrend die nie-
derwertigen Bits noch nicht auf null zuruckge-
gangen sind. Dadurch steht fur kurze Zeit der ®
Wert 255 an, den der DA-Wandler in den ent- 4 ^ Zwischenspeicher
sprechenden Analogwert umsetzen will. Ein
analoges TiefpaBfilter unterdriickt diese einma-
lige und nicht kontinuierhche Spitze (engl.:
i ]f f ' ' T 1 T' M ' \ \ \ 1'f

DA-Wandler mit
glitch) nicht, da es die Impulsenergie nicht vom Spannungsausgang
Verbraucher fernhalt, sondern sie ledigUch auf
einen langeren Zeitraum verteilt. Statt dessen ^
lauft der Analogwert dem Digitalwert trage D
nach. ® IK r\^
•^ 1 ^ ,
Dieser Effekt stort besonders bei schnellen und I 1"
^+ .^ '
hochauflosenden DA-Wandlern, wenn die Ver-
zogerungszeit im Zahler nicht mehr gegen die
Taktzeit vernachlassigt werden kann, und wenn
" 1,®
die Spitzen bei vielen Obergangen hoherwerti- Bild 9-8 a. Digitaler Funktionsgenerator mit DA-
ger Bits mehr oder weniger ausgepragt auftre- Wandler und Deglitcher (rot).
9.1 Digital-Analog-Wandler (DA-Wandler) 381

b) Impulsbild

o f Takt: Weiterschalten
derAdresse

Ausgabe neuer Daten


ausdemPROM

Takt: Ubernahme der PROM-


Daten in den Zwischenspeicher
und Aniegen an den DA-Wandler

r
Einschwingen des analogen
Ausgangs des DA-Wandlers

Takt: Durchschalten des


FET und Ubernahme des
Analogwerts an dem Ausgang
Ausgangsspannung UQ
ohne Uberschwinger

Einschwingen
des Digitalteils

Bn^hwinQ^
desAi:ialogteHi

I X.
I
Du>Ghschaken
des/^ialogieils
zum Ausgang

Bild 9-8 b. Digitaler Funktionsgenerator: Impulsbild.


bild. Ein digitaler Funktionsgenerator enthalt der positiven Taktphase iiber den MOSFET auf
die Funktionsdaten in einem PROM, dessen den Ausgang durchgeschaltet wird. Der De-
Adressen mit der positiven Taktflanke weiterge- ghtcher ist auch in vielen anderen Schaltungen
zahlt werden. Die Daten kommen je nach der anzuwenden, wenn Speicher und DA-Wandler
Geschwindigkeit des Speichers 50 ns bis 200 ns jeweils eine halbe Taktperiode Zeit zum Ein-
nach dem Aniegen der Adresse. In der Zwi- schwingen haben. Zum Ausgleich kann man ei-
schenzeit liegen undefinierte Daten am Aus- nen TiefpaB benutzen, der nur die Taktfrequenz
gang des PROM. Der Speicherausgang muB unterdriickt und deshalb eine kurze Laufzeit
nach einer halben Taktperiode seinen stabilen hat. Stort die Verzogerung einer Taktperiode
Endwert erreicht haben; er wird mit der negati- immer noch, so beginnt man zweckmaBiger-
ven Taktflanke in den Zwischenspeicher (Latch) weise einen Takt friiher mit dem Auslesen des
iibernommen und gleichzeitig an den AD- PROM.
Wandler ausgegeben, der die digitale Zahl di-
rekt in einen Analogwert umsetzt. 9.1.7 Fehler bei der Datenumsetzung
Der DA-Wandler kann wahrend der negativen Wie bei alien nicht rein mathematischen Vor-
Taktphase einschwingen, weil er nur wahrend gangen entstehen bei der Datenwandlung Feh-
382 9 Digital-Analog- und Analog-Digital-Wandler

ler, die sich in vier Hauptgruppen einteilen las- a) Offsetfehler


sen und die fur AD- und DA-Wandlung glei-
chermaBen zutreffen.
Dem im Prinzip unendlich fein auflosbaren
Analogsignal steht am anderen Ende des Wand-
lers ein Digitalsignal mit einer endlichen Anzahl
verschiedener Werte gegeniiber. Der Bereich
zwischen zwei benachbarten Digitalwerten wird
einem Digitalwert zugeordnet. Der dadurch ent-
stehende Fehler heifit Quantisierungsfehler. Er
betragt Vi LSB und wird mit zunehmender Auf-
losung (Anzahl der Bit) kleiner.
Bild 9-9 zeigt vier wichtige Fehler der Daten-
wandler. Sie sind zur besseren Darstellung iiber-
trieben groB und rot eingezeichnet. Beim Offset-
fehler in Bild 9-9 a hangen Digital- und Analog-
wert linear und mit der richtigen Verstarkung
zusammen. Eine GroBe hat aber einen Versatz,
weshalb die Kennlinie nicht durch den NuU-
punkt geht. Die Ursache des Fehlers kann in
den geschalteten Stromquellen oder im nachge-
schalteten Operationsverstarker liegen. Viele
Datenwandler haben einen Korrekturanschlufi
(Offset-Adjust), an dem man uber ein Potentio-
meter einen nach Betrag und Vorzeichen ein- digitaler Eingangswert
stellbaren Strom einspeist, der diesen Fehler
aufhebt.
Beim Verstdrkungsfehler nach Bild 9-9 b weicht
nur die Steigung der Ubertragungskennlinie
vom Idealwert ab. Dieser Fehler kommt haufig
bei monohthischen und multiphzierenden DA-
Wandlern vor, deren Widerstande im Leiter-
netzwerk untereinander genau sind, absolut
aber oft bis zu 5% vom Nennwert abweichen.
Um diesen Wert andert sich auch der in den
nachfolgenden Verstarker eingespeiste Strom.
Weicht die Referenzspannung von ihrem SoU-
wert ab, entsteht bei alien Wandlerarten ein
Verstdrkungsfehler. Der Riickfuhrwiderstand
des nachfolgenden Operationsverstarkers be-
stimmt die Verstarkung und damit die Steilheit
der Ubertragungskennlinie. An ihm wird die
Verstarkung zweckmaBigerweise korrigiert.
Bei der in Bild 9-9c dargestellten Nichtlinearitdt
ist die Verstarkung nicht konstant, sondern an-
dert sich mit zunehmender Aussteuerung. Die
Ursache kann ein schlechter Operationsver-
starker sein, der dann durch einen besseren zu
ersetzen ist. Ursachen, die direkt im Daten-
wandler liegen, kann man nur bei dessen Her- digitaler Eingangswert
stellung korrigieren. Die groBte zulassige Nicht-
Hnearitat wird im Datenblatt angegeben. Bild 9-9. Fehler bei Datenwandlern.
9.2 Analog-Digital-Wandler 383

Der Ausschnitt der Ubertragungsfunktion in Die Tabelle 9-1 zeigt eine Ubersicht iiber die
Bild 9-9 d zeigt eine Unstedgkeitsstelle. Obwohl vier wichtigsten AD-Wandlertypen. AUe AD-
das digitale Eingangssignal steigt, sinkt an die- Wandler konnen nur Gleichspannungen oder
ser Stelle das entsprechende analoge Ausgangs- Spannungen umsetzen, die sich wahrend der
signal, so daB die tJbertragung nicht monoton Messung nicht verandern.
ist. Tritt dieser Fall bei AD-Wandlern auf, dann
wird zwei unterschiedlichen Analogwerten ein 9.2.1 Integrierende Analog-Digital-Wandler
Digitalwert zugeordnet; der zweite Digitalwert Beim integrierenden AD-Wandler erzeugt die
erscheint nie, er heiBt fehlender Kode (engl: unbekannte Spannung U^ innerhalb einer ge-
missing code). nau festgelegten Zeit an einem Integrator einen
Spannungsanstieg, der zu einer bestimmten
9.2 Analog-Digital-Wandler Hilfsspannung U^ fuhrt, die dem Mittelwert der
Der Wunsch, analog erzeugte Daten digital wel- unbekannten Eingangsspannung proportional
ter zu verarbeiten, zu speichern oder zu iibertra- ist. AnschlieBend legt man eine genau bekannte
gen, hat zur Entwicklung vieler verschiedener Referenzspannung mit entgegengesetzter Pola-
Verfahren zur Analog-Digital-Wandlung ge- ritat an und miBt die Zeit, in der der Integrator
fiihrt. Vier davon haben sich durchgesetzt und wieder auf null lauft. Diese Zeit ist der unbe-
wurden zu hoher Reife gebracht. Der zuletzt ent- kannten Spannung U^ proportional. Bild 9-10 a
wickelte Delta-Sigma-Wandler bietet eine hohe zeigt das Blockschaltbild eines integrierenden
Auflosung, bis 24 Bit, bei mittlerer Geschwin- AD-Wandlers.
digkeit. Der Wandler lasst sich mit modemer Die unbekannte Eingangsspannung U^ kommt
Halbleitertechnologie in groBen Stiickzahlen uber den Schutzwiderstand R^ zum Schalter S^.
preisgiinstig herstellen. Der Kondensator C^ unterdruckt hoherfre-

Tabelle 9-1. Verfahren zur Analog-Digital-Wandlung


Arbeitsprinzip Genauigkeit, Preis, Ausgang Anwendungsbeispiel
Schnelligkeit Stromverbrauch

Integrierender 12 bis 20 Bit sehr preisgiinstig, BCD mit Digitalmultimeter, langsame


AD-Wandler, 10 ms bis 1 s ImWbislOOmW Ziffemanzeige, Spannungsmesser, fur manuelle
Zweirampen- langsam, 3V2-5V2 binar, parallel, und automatische Messungen,
verfahren Dezimalstellen |iP-kompatible unempfmdlich gegen
Busschnittstelle iiberlagerte Storungen

AD-Wandlernach 8Bitbisl8Bit, preisgiinstig bis binar, schneller Datenwandler in der


dem Prinzip der 0,5 lis bis mittlere zunehmende |LIP- industriellen Steuer- und
sukzessiven 100 ^s, Preisklasse, kompatible Regeltechnik, zur
Approximation schnell 5 mW bis Busschnittstelle Kommunikation und zur
SAR-Prinzip 500 mW parallel und Uberwachung schneller
seriell Vorgange, storempfmdlich

AD-Parallel- binar, sehr schnell mittlere binar, parallel Datenwandler zur


Wandler, ein- und 6 bis 16 Bit Preisklasse bis Digitalisierung schneller
mehrstufig 2 ns bis 300 ns sehr teuer, Analogsignale, Video,
z.B. 12 Bit 125 0,1 W - 4 W Kommunikation, Radar, uvm,
MHz extrem schnell

Delta-Sigma-AD- 10 Bit bis 24 Bit, Preisgiinstig, binar, Datenwandler fur sehr viele
Wandler 1 ms bis 0,4 ^is Verbrauch seriell und Anwendungen, sehr genau,
gering, (mW) parallel allgemein einsetzbar, und
schnelle ADC wegen einfacher Analogtechnik
mittel, bis 1 W und iiberwiegender
Digitaltechnik gut herstellbar.
Ersetzt inzwischen viele Wand-
ler nach dem SAR-Prinzip
384 9 Digital-Analog- und Analog-Digital-Wandler

a) Blockschaltbild

Schalter Verstarker Verstarker Komparator


H+
V2 K i i i i
Multiplexer

Spannungs- MMMM,
referenz Speicher I

I Mil II I,

^
nn Zahler

Steuerlogik
—]

b) InterneSpannungenundlmpulse

,, i Nullpunkt- I MeBzyklus Nullpunkt-


^1 T knrroktiir korrektur

Stellung Schalter S 1
1

' Nullpunktkorrektur " MeRzyklus Nullpunktkorrektur


Bestimmen der Polaritat

Ubernahme des Zahlerstandes in den Speicher


A
Bild 9-10. Integrierender Analog-Digital- Wandler.
quente Storungen, und die antiparallel geschal- uber der Zeit integriert. Eine kleine MeBspan-
teten Dioden schiitzen den Eingang vor Uber- nung veranlaBt einen langsamen Spannungsan-
spannung. Zu Beginn der Messung stellt die stieg, eine groBe einen schnellen Anstieg.
Steuerlogik den MOSFET-Schalter S^ in die Dieser Anstieg ist in der Mitte des Bildes 9-10 b
Stellung 2, und die Eingangsspannung gelangt zu sehen. Der Komparator K stellt die Polari-
uber den sehr hochohmigen Elektrometerver- tat der integrierten Spannung und damit auch
starker V^ auf den Integrator V2. die Polaritat der Eingangsspannung fest. Nach
Wahrend des MeBzyklus t^, der immer eine Ablauf der MeBzeit t^ stellt die Steuerlogik den
konstante Anzahl Perioden (2000 bis 10000) Schalter S^ in die Stellung 3 oder 4. Dabei legt
des internen Systemtaktes (meist 100 kHz) dau- man statt der unbekannten Spannung U^ die
ert, wird die unbekannte Eingangsspannung Referenzspannung U^^f mit umgekehrter Pola-
9.2 Analog-Digital-Wandler 385

ritat liber den Elektrometerverstarker an den ler (meist kleiner als 10"^). Die Werte des Wi-
Integrator, wodurch die Ausgangsspannung C/, derstands R2 und des Kondensators C2 miissen
des Integrators mit konstanter Anderungsrate wahrend des MeBvorgangs konstant bleiben
wieder zuriickgeht. und diirfen sich nicht spannungsabhangig ver-
Der Entladevorgang des Integrators dauert so andern. Fiir R2 wird meistens ein externer Me-
lange, bis die Ausgangsspannung durch null tallschichtwiderstand, fiir C2 ein Wickelkon-
geht und der Komparator K die Integration densator aus Polypropylenfolie benutzt, der mit
stoppt. Der Zahler zahlt die Takte wahrend der 10% Toleranz preisgiinstig zu haben ist.
Entladezeit ^2, die um so langer dauert, je hoher Wechselspannungen, die der zu messenden
die angelegte MeBspannung war. Die Anzahl Gleichspannung uberlagert sind, gehen mit
der MeBtakte ist der unbekannten MeBspan- ihrem Mittelwert in das MeBergebnis ein. Wah-
nung genau proportional. Wegen der ansteigen- rend einer oder mehrerer ganzer Perioden der
den und abfallenden Spannungsrampe heiBt Storspannung ist dieser Mittelwert null. Wird
das Prinzip auch Zweirampenverfahren (engl.: als MeBzeit t^ ein ganzzahhges Vielfaches der
dual slope technique). Periodendauer der Netzwechselspannung (z. B.
Der groBe Erfolg dieses Wandlerprinzips be- n ' 20 ms) gewahlt, dann lassen sich 50 Hz- und
ruht auf der einfachen und preisgiinstigen Her- 100 Hz-Storungen sehr gut unterdriicken. Bei
stellung der Schaltung, die heute meist als mo- hohen Storfrequenzen ist der EinfluB gering, da
nolithische hochintegrierte CMOS-Schaltung der Mittelwert der vollstandig erfaBten Peri-
ohne teuren Abgleich in Gebrauch ist. Beim oden null ist und nur die unvollstandige Rest-
integrierenden AD-Wandler nach dem Zwei- periode als Fehler eingeht. Bild 9-11 zeigt die
rampenverfahren geht letztlich nur die Refe- Storunterdruckung des integrierenden AD-
renzspannung in die Messung ein; alle anderen Wandlers als Funktion der Storfrequenz / und
elektrischen Daten beeinflussen das Ergebnis der Integrationszeit T.
nicht. Die Arbeitsweise und die Besonderheiten

w
30
sind in dem Blockschaltbild 9-10 a und dem Im-
pulsbild 9-10 b erlautert.
Durch den extrem hochohmigen Eingang des CO
•D
1 W\
y
o>20
Elektrometerverstarkers V^, R^> 1000 MQ, / \p
fallt an R^ keine Spannung ab. Der Wandler
belastet die MeBspannung oder den vorgeschal- /
0 10
teten Spannungsteiler nicht. Der Verstarker V^
macht die Spannung niederohmig und speist :0 /
den Integrator aus V2, R2 und C2. Unabhangig 1 ^^
von der GroBe der Integrationszeitkonstanten 0,1/7 2 5 1/r 2 10/r
T = i?2C2gilt Uberlagerte Storfrequenz f •
Bild 9-11. Frequenzabhdngige Stdrunterdriickung des
integrierenden AD- Wandlers.
T T

oder Bei genauen Schaltungen mit Operationsver-


starkern wird normalerweise groBer Wert auf
U, = t/Ref tjt, . (9-2) eine kleine Offsetspannung gelegt. In der Schal-
tung nach Bild 9-10 a sind zwei Operations ver-
Die Werte von R2 und C2 beeinflussen die End- starker und ein Komparator mit FET-Eingang
spannung des Integrators [/i, aber nicht das in Reihe geschaltet. Ihre bekanntermaBen
MeBergebnis, da die Zeitkonstante T ebensowe- groBen Eingangsfehlspannungen (bis zu 10 mV
nig in das Ergebnis eingeht wie die Taktfre- je Verstarker) addieren sich im ungiinstigsten
quenz. Das maBgebende Verhaltnis ^2/^1 wird Fall zu mehr als 20% des kleinsten MeBbe-
richtig ausgegeben, wenn die Zeitdauer beider reichs. Ein Abgleich ware teuer und wiirde nur
Rampen mit der gleichen Frequenz gemessen einen Teilerfolg bringen.
wird. Nur Kurzzeitfehler der Taktfrequenz zwi- Als Ausgleich wird vor jeder Messung automa-
schen beiden Rampen fiihren zu einem MeBfeh- tisch ein Nullabgleich durchgefiihrt. Er ist im
386 9 Digital-Analog- und Analog-Digital-Wandler

Spannungsdiagramm (Bild 9-10 b ganz links) Segment-Anzeigen umsetzen. Haufig hat das
als Nullpunktkorrektur bezeichnet. Der Schalter AD-Wandler-Bauteil auch die erforderlichen
Si schlieBt in der Stellung 1 den Eingang des Dekoder und Multiplexer, um direkt eine
AD-Wandlers kurz, die Eingangsspannung ist LCD- oder LED-Sieberi-Segment-Anzeige an-
genau null Volt, und der externe Eingang wird zusteuern.
dabei nicht belastet. Abhangig von der Summe Andere integrierende AD-Wandler haben einen
der Fehlspannungen der Verstarker V^ und V2 binar kodierten Ausgang oder eine Mikro-
erhalt der Komparator K eine positive oder ne- prozessor-kompatible Bus-Schnittstelle. Dieser
gative Differenzspannung am Eingang und gibt Wandlertyp ist zwar langsam, aber hochauflo-
am Ausgang einen positiven oder negativen send, genau, storfest und preisgiinstig. Er ist der
Strom ab, der den Kondensator C3 liber den ideale Umsetzer fur analoge Daten, die sich
jetzt geschlossenen Schalter S2 soweit aufladt, langsam andern, beispielsweise bei der Tempe-
bis der Komparator die Integratorspannung raturmessung.
L/j = 0 miBt. Im Kondensator C3 ist jetzt die
Summe aller Fehlspannungen gespeichert. Sie
werden vor der Integration vom fehlerbehafte- 9.2.2 Analog-Digital-Wandler
ten Wert abgezogen, so da6 nur die eigentliche nach dem Prinzip
MeBgroBe U^ integriert und damit bewertet der sukzessiven Approximation
wird. Da die Korrekturspannung des Konden-
sators C3 vor jeder Messung nachgestellt wird, Bei diesem Wandlertyp wird der Digitalwert
lassen sich Fehlspannungen durch Temperatur null um jeweils ein Bit, beginnend mit dem
und Alterung stets ausregeln. MSB, vergroBert, gleichzeitig in den zugehori-
Damit bleibt die Referenzspannung C/R^f als gen Analogwert gewandelt und mit dem unbe-
einzige Fehlerquelle iibrig, deren EinfluB voll in kannten Analogwert verglichen. Das Ergebnis
das MeBergebnis eingeht. Deshalb erzeugt man des Vergleichers nutzt man zur systematischen
diese Spannung entweder mit einem tempera- Annaherung der beiden Werte, die erreicht ist,
turkompensierten Referenzelement oder heute wenn auch das LSB zum Vergleich herangezo-
mit einem Band-Gap-Referenzelement, das we- gen worden ist. Fur jedes Bit ist ein Vergleich
nig temperaturabhangig und langzeitstabil ist. und damit eine Taktperiode erforderlich. Die
Uber einen Spannungsteiler laBt sich die Refe- Wandlungszeit betragt je nach Typ 0,5 |is bis
renzspannung so einstellen, daB die angelegte 100|j,s, die Genauigkeit 8 Bit bis 18 Bit. Der
Eichspannung am Ausgang angezeigt wird. erforderliche Aufwand, aber auch die erreich-
Die Steuerlogik stellt alle Schalter ein. Sie be- bare Geschwindigkeit ist wesentUch groBer als
ginnt den MeBzyklus mit der Nullpunktkorrek- beim integrierenden AD-Wandler; die Genauig-
tur, welche die 10- bis 20fache Dauer der vor- keit ist oft geringer.
kommenden Zeitkonstanten benotigen darf. Bild 9-12a zeigt das Blockschaltbild dieses AD-
Dadurch sind zu Beginn des MeBzyklus alle Wandlers, Bild 9-12 b das zugehorige Impuls-
Ubergangsvorgange abgeschlossen, und der bild. Die zu wandelnde Analogspannung wird
Wandler hat seinen statischen Zustand erreicht. am Eingang U^ angelegt. Sie muB konstant sein
Die Steuerlogik gibt die MeBzeit vor und schal- und darf sich wahrend der Wandlung um weni-
tet wahrend des Riicklaufs des Integrators den ger als ein V2 LSB andern. Die Analog-Digital-
Takt an den Eingang des Zahlers. Der Speicher Umsetzung wird mit einem Start-Impuls einge-
ubernimmt das Ergebnis und behalt dieses wah- leitet. Der Zahler setzt iiber einen Dekoder und
rend des nachsten MeBzyklus. ein Register das MSB des angeschlossenen DA-
Abhangig vom Verwendungszweck kann man Wandlers auf 1. AnschlieBend vergleicht der
die erzeugte Impulszahl weiter verarbeiten. Die Komparator die unbekannte Analogspannung
meisten Digitalmultimeter arbeiten mit einem mit der des DA-Wandlers. Ist die Spannung des
integrierenden AD-Wandler nach dem Zwei- DA-Wandlers groBer als die analoge Eingangs-
rampen-Verfahren. Hierbei zahlt ein BCD-Zdh- spannung, dann nimmt der Komparator das
ler die Impulse in der Riicklaufphase des Inte- MSB im Register wieder zuriick, ist die DA-
grators (3) in Bild 9-10 b. Sein Ergebnis kann Wandlerspannung dagegen kleiner, dann bleibt
man speichern und auf einfache Weise in die das Bit stehen.
Ansteuersignale der meist verwendeten Sieben- Mit der nachsten Taktperiode schaltet der Zah-
9.2 Analog-Digital-Wandler 387

a) Blockschaltbild durch einen zusammengesetzten Digitalwert


Start Status heiBt auch Wdgeverfahren.
Zahler und Dekoder Die Wandlungszeit eines AD-Wandlers setzt
Takt-
gene-
rator
mm^ 1—I—I—I—I ' I ' I
leinzelnsetzbaresRegisterl
Bit
sich aus den Laufzeiten im Digitalteil, dem Zah-
ler und dem Register (SAR, Successive Approxi-
mation Register), der Einschwingzeit des DA-
Wandlers und des Komparators zusammen. Die
—O] MSB
-O 2 Summe dieser Zeiten ist fur jedes Bit erforder-
-03
—o 4
hch. Deshalb wahlt man die Taktfrequenz so,
daB innerhalb einer Periode ein Bit einschwin-
-07
gen kann. Ein Wandler mit n-Bit-Auflosung be-
notigt deshalb mindestens n Takte zur Umset-
P
Digital-Analog-Wandler mit Referenz
zung.
Komparator
Das Wageverfahren ist weit weniger fehlertole-
rant als das Zweirampenverfahren. In das Er-
b) Impulsbild, allmahliche Annaherung des Digitalwertes an gebnis gehen alle Fehler des DA-Wandlers,
den Analogwert durch Zuschalten aller notwendigen Bits.
wie Referenzspannungsfehler, Nichthnearita-
ten. Offset, Temperatur- und Verstarkungsfeh-
Start ler ein. Uberlagerte Storungen oder Wechsel-
"U spannungen konnen das Setzen eines Bit veran-
lassen, das im MeBwert nicht enthalten ist. Die-
Takt jiJiJxrinnnnrLrLr
Umsetzung lauft (Status) ses Bit laBt sich im laufenden Umsetzvorgang
nicht zurucknehmen; es verursacht einen Feh-
I 255
B ler, der erst bei der nachsten Wandlung korri-
analoger Eingangs^wert
giert werden kann.
0 Da dieser Wandler besonders bei schnell sich
c128
andernden Eingangsspannungen Verwendung
fmdet, kann hier ein zusatzlicher Fehler entste-
Bit hen. Abhilfe schafft eine vorgeschaltete Abtast-
J \ I I L J L
1 2 3 4 5 7 8 undHalteschaltung (engl.: sample and hold), wel-
MSB LSB
che die MeBspannung abtastet, und den Augen-
blickswert wahrend der Wandlung in einem
Bild 9-12. Analog-Digital- Wandler nach dem Prinzip Kondensator speichert und so konstant halt.
der sukzessiven Approximation. AD-Wandler nach dem Verfahren der sukzes-
ler den Vergleich auf das nachst niedrigere Bit siven Approximation sind als mittelschnelle
weiter. Der Vergleich fiihrt zum Setzen oder Zu- Wandler mit mittlerer bis hoher Genauigkeit
rucksetzen des nachsten Bits. Nach jedem Ver- (bis 18 Bit) in Gebrauch. Der gegenuber inte-
gleich schalten Zahler und Dekoder auf das grierenden Wandlern hohe Preis rechtfertigt
nachste niedrigere Bit weiter. Auf diese Weise ihren Einsatz nur bei MeBspannungen, die sich
wird die anfangliche Differenz zwischen dem mit der Zeit schnell andern. Ein Beispiel ist die
Analogwert und dem von null ansteigenden Di- hochprazise Digitahsierung von Tonfrequenzen
gitalwert immer kleiner, wobei nur jene Bits ge- zur Speicherung auf der Compact Disc; indu-
setzt werden, die zur Darstellung des Analog- strielle Steuerungen und die Kommunikations-
werts erforderlich sind. technik sind weitere wichtige Einsatzbereiche.
1st das niederwertigste Bit (LSB) gesetzt, verrie- Die meisten Wandler haben einen binar kodier-
gelt der Wandler seinen Arbeitstakt und bleibt ten parallelen Ausgang. Es gibt jedoch auch
stehen. An der Verbindungsstelle des Registers AD-Wandler mit einem Schieberegister im Aus-
mit dem DA-Wandler steht der fertig gewan- gang, deren Ergebnis sich mit einer Impulsfolge
delte Wert an. Wie im Impulsbild zu erkennen seriell ausgeben laBt.
ist, fuhrt das Bit 2 zu einem zu hohen Analog- Viele Analog-Digital-Wandler haben heute eine
wert und wurde deshalb wieder zuriickgenom- Mikroprozessor-kompatible Schnittstelle (Bild
men. Diese Kompensation des Analogwertes 9-13). Ihr Tri-State-Ausgangsregister ist norma-
388 9 Digital-Analog- und Analog-Digital-Wandler

ST6 DB11 DB10 DB9 DBS DB7 DB6 DBS DB4 DB3 DB2 DB1 DBO DGND
O
9 9 9 9 ? ? ? 9 9 9 9 9 9
281 271 261 25| 24 23 22 2l| 20 19 18
17 16 isl

NIBBLE A NIBBLE B NIBBLE C

THREE-STATE BUFFERS AND CONTROL

ZS
12BITSAR

A A
IZ
12 BIT
CAPACITANCE
DAC
osc
OFFSET/GAIN
TRIM
U
CONTROL LOGIC REF

r-AA/—t f

? rr
3 5 6 8 10 11 12 13 14
6 6 0 6 6 0 6 6 6
V LOGIC 12/8 CS Ao R/C CE vcc REF
OUT
AGND REF VEE
IN
BIP
OFF
10V
IN
20 V
IN

Bild9-13. Analog-Digital-Wandler mit mikroprozessor-kompatibler Schnittstelle HS574A (Datenbus).


Werkbild: Sipex.

lerweise hochohmig und liegt direkt am Daten- des Verstarkers V2 kompensiert wird. Erreicht
bus. liber Steuersignale, Write und die deko- die Ausgangsspannung den Wert der Eingangs-
dierte Adresse des AD-Wandlers wird dieser an- spannung, dann wird der Ladestrom /L null
gesprochen und schreibt sein Ergebnis direkt und die Schaltung ist in Ruhe. Wird jetzt der
auf den Bus. 1st das Ausgangswort des AD- Schalter S geoffnet, dann wirken sich weitere
Wandlers breiter (12 Bit) als der Datenbus Anderungen der Eingangsspannung nicht mehr
(8 Bit), dann kann man die Ausgange zusam- auf den Ausgang aus.
menlegen, getrennt aktivieren und dadurch als Solange keine Ladung aus dem Kondensator
High-Byte und Low-Byte nacheinander vom abflieBt, bleibt die niederohmige Ausgangs-
Rechner abholen lassen. spannung der Sample-and-Hold-Schaltung er-
halten. Der Schalter ist meistens ein sehr hoch-
9.2.3 Abtast- und Halteschaltung ohmig sperrender MOSFET. Der Verstarker V2
(Sample and Hold) hat ebenfalls einen FET-Eingang; hierdurch
Bild 9-14 a verdeutlicht die Schaltung eines Ab- vergroBert sich die Entladezeitkonstante be-
tast- und Halteverstarkers (engl.: Sample and trachtlich.
Hold Amplifier). Wahrend der Abtastphase ist In Bild 9-14 b wird das Eingangssignal nur kurz
der Schalter S geschlossen. Eine positive Ein- abgetastet und danach bis zum nachsten Ab-
gangsspannung U^ am invertierenden Eingang tastvorgang gehalten. In Bild 9-14 c folgt der
des Verstarkers V^ verursacht einen negativen Abtastkreis der Eingangsspannung dauernd
Ladestrom /L in den Knoten am Eingang des und wird nur kurz wahrend der Wandlungs-
Verstarkers V2, der uber den Kondensator C phase unterbrochen. Durch das standige
mit einem Anstieg der Ausgangsspannung U^ Nachlaufen ist die Schaltung auf den jeweiligen
9.2 Analog-Digital-Wandler 389

a) Schaltung groBten Teil dieses breitbandigen Rauschens.


C
Bild 9-15 zeigt das Rauschspektrum bei ver-
Vi
schiedenen Abtastfrequenzen.

7^±Mt, 0."
1
NvniiiQt.J^amnlinn

o
•D
0) Oversampling

1
C
b) Ein- und Ausgangsspannung im Abtastmodus 3
CO

CO

»^
signal 'Nyqu L
Abtastfrequenz f »^
Bild 9-15. Spektrum des Quantisierungsrauschens
bei verschiedenen Abtastfrequenzen.
n n n n [1 n Abtastslgnal

c) Ein-und Ausgangsspannung im Nachlaufmodus 9.2.4 Parallel-Analog-Digital-Wandler


Die bisher beschriebenen Analog-Digital-
Wandler haben den Analogwert durch kontinu-
ierliches Hochzahlen oder systematisches Su-
chen des zugehorigen Digitalwertes ermittelt.
Hierzu waren mehrere nacheinander ablau-
fende Vorgange erforderUch, die Zeit kosteten.
Beim Parallel-Wandler (engl.: flash converter)
wird der richtige Digitalwert innerhalb einer
U II y y U IT" Abtastslgnal Taktperiode ermittelt und parallel ausgegeben.
Bild 9-16 zeigt das Blockschaltbild eines 6-Bit-
Bild 9-14. Sample-and-Hold-Schaltung. Parallel-AD-Wandlers.
Beim n-BiX breiten AD-Wandler wird die Refe-
renzspannung iiber einen Spannungsteiler aus
Augenblickswert eingeschwungen und laBt sich 2" — 1 gleichen Widerstanden R und einem obe-
jederzeit ohne Wartezeit halten und abfragen. ren und unteren Widerstand R/2 geteilt. Die
Wird eine Wechselspannung abgetastet, dann abgreifbaren Spannungen liegen jeweils in der
muB die Abtastfrequenz nach Nyquist (H. NY- Mitte der in 2" gleiche Bereiche geteilten Refe-
QUiST, von 1889 bis 1963) mindestens doppelt so renzspannung. 2" Komparatoren vergleichen
hoch wie die hochste zu erfassende Frequenz die unbekannte Eingangsspannung gleichzeitig
sein. Dieses Verfahren heiBt deshalb auch Ny- mit den 2" moglichen Schwellen.
quist-Sampling. Der unvermeidbare Fehler zwi- AUe Komparatoren, deren Referenzspannung
schen dem analogen Eingangssignal und dem kleiner als die Eingangsspannung ist, geben am
quantisierten digitalen Ausgangssignal auBert Ausgang eine logische „1" ab, die Komparato-
sich als breitbandiges Quantisierungsrauschen, ren mit hoherer Referenzspannung geben eine
das mit zunehmender Auflosung des AD- logische „0" ab. Der abgegebene Kode heiBt
Wandlers abnimmt. Das Abtasten mit einem Thermometer-Kode (engl.: bar-code). Der nach-
Vielfachen der Nyquistfrequenz wird als Over- folgende Dekoder setzt die 2" — 1-Eingange par-
sampling bezeichnet; es vergroBert die Band- allel und nicht getaktet in einen n-Bit-Binar-
breite des Rauschens, verringert aber dessen Pe- kode um. Setzt man zuerst in einen einschrit-
gel. Das nachfolgende TiefpaBfilter sperrt den tigen Kode, beispielsweise den Gray-Kode
390 9 Digital-Analog- und Analog-Digital-Wandler

reiche andere mit groBer Bandbreite anfallende


Analogdaten, um sie ohne Genauigkeitsverlust
65 Uberlauf zu speichern und in digitalen Rechnern zu ver-

1 tt> arbeiten. Da einerseits die 8-Bit-Auflosung fur


viele Anwendungen nicht ausreicht, anderer-
seits aber jedes weitere Bit Auflosung den Auf-
MK^64 wand verdoppelt, wurden andere Wege zur Ver-
Bit besserung gesucht.
MSB
" Bild 9-17 zeigt das Blockschaltbild eines 12-Bit-
AD-Wandlers mit 10-MHz-Abtastrate. Diese
t^ 63
—2
—3
Geschwindigkeit ist mit dem Verfahren der suk-
zessiven Approximation nicht zu verwirkHchen.
—4 Fiir einen Parallel-AD-Wandler wiirde man
4095 Komparatoren benotigen, deren Verlust-
—5 warme nur unter groBen Schwierigkeiten abzu-
—6 fiihren ware.
LSB Der Wandler arbeitet deshalb in zwei Stufen.
it>^ Der 8-Bit-Parallelwandler (1) setzt die analoge
Eingangsspannung in den ersten Digitalwert
um. Der Zwischenspeicher (Latch) (2) behalt

l^t>H diesen Digitalwert vorlaufig. Der 8-Bit-DA-


Wandler (3) wandelt ihn wieder in den Analog-

s
bereich zuriick. Da der Parallelwandler (1) nicht
rundet, sondern ein Bit erst dann setzt, wenn die
OVolt entsprechende Analogspannung auch tatsach-
lich ansteht, ist die zuriickgewandelte Analog-
Bild9-16. Parallel-AD-Wandler (flash converter). spannung aus (3) im allgemeinen kleiner als die
Eingangsspannung; denn hier fehlen die letzten
(Abschn. 11.2.1), und danach in den iiblichen 4 Bit.
Binar-Kode um, dann bleiben mogliche Fehler Der Fehlerverstarker (4) verstarkt diese Diffe-
durch iiberlagerte Storspannungen wahrend renz, die ein zweiter Parallel-Wandler (5) in den
der Wandlung auf ein LSB beschrankt. entsprechenden Digitalwert umsetzt. Eine Ad-
Die sehr kurze Wandlungszeit zwischen 5 ns dier- und Korrekturlogik addiert beide Digital-
und 100 ns erfordert einen hohen Aufwand - ge- werte und gibt das Ergebnis als binar kodiertes
messen an den bisher vorgestellten Verfahren - Digitalwort aus. Das Wandlerbauteil enthalt
und ergibt nur maBige Genauigkeit. Ein 6-Bit- noch eine eigene Referenzspannung und einen
Wandler hat einen Spannungsteiler aus 65 Taktgeber, der die beiden Parallel-Wandler und
hochgenauen Widerstanden, 64 Komparatoren den Speicher zur richtigen Zeit aktiviert. Bild
und einen Dekoder mit 64 Eingangen. Ein 9-18 zeigt die Arbeitsbereiche beider Paral-
8-Bit-Wandler benotigt einen Teiler mit 256 lelwandler.
Ausgangen, 256 parallel betriebene Kompara- Die Herstellung eines zweistufigen Parallel-
toren und einen entsprechend groBen Dekoder. AD-Wandlers ist nicht einfach. Die Untertei-
Die Verlustleistung kann mehrere Watt betra- lung der 256 Stufen des ersten 8-Bit-Paral-
gen und muB uber das Keramikgehause der lelwandlers in jeweils weitere 16 Stufen ist nur
integrierten Schaltung abgefiihrt werden. Der dann sinnvoll, wenn der erste 8-Bit-Parallel-
Aufwand und die verfiigbare Technologie be- wandler und der 8-Bit-DA-Wandler auf 12 Bit
grenzen die erreichbare Genauigkeit und genau sind. Das setzt einen sehr genauen Span-
Schnelligkeit. Dieses Verfahren befindet sich in nungsteiler und Komparatoren mit kleiner
intensiver Entwicklung, so daB weitere Verbes- Offsetspannung voraus. Bei dem vorgestellten
serungen zu erwarten sind. 10-MHz-Wandler miissen drei Vorgange inner-
Mit Parallel-Wandlern digitaUsiert man heute halb 100 ns ablaufen: die erste 8-Bit-AD-Wand-
MeBwerte, Video- und Radardaten sowie zahl- lung, die 8-Bit-DA-Wandlung und die zweite
9.2 Analog-Digital-Wandler 391

Start
Takt-
geber

Bit
^
Eingangs-
spannung
8-Bit-
0 © MSB
— 1
Parallel- Zwischen- — 2
8 Bit MSB
AD- speicher
Wandler — 3
— 4
— 5
Addier-
und — 6
Korrel<-
tur- — 7
logik
— 8
— 9
4 Bit
LSB —10
11
12
LSB

d Referenz-
spannung
Referenzspannung

Bild 9-17. Blockschaltbild eines 12-Bit-Zwei-Stufen-Parallel-AD- Umsetzers.

AD-Wandlung der verbliebenen Differenz mit losung Oder 200 MHz Umsetzrate = 200 MSPS
4 Bit Genauigkeit. Bild 9-19 verdeutlicht den (Mega Samples Per Second). Die technische Ent-
als Hybridschaltung realisierten inneren Auf- wicklung ist im FluB; der neueste Stand ist
bau eines Zweistufenwandlers mit 12 Bit Ge- zweckmaBigerweise den Datenbuchem der Her-
nauigkeit und 10-MHz-Abtastrate. steller zu entnehmen.
Die Hersteller gehen beim Bau schneller AD- Beim Parallel-AD-Wandler gibt die digitale
Wandler unterschiedliche Wege. Es gibt 10-Bit- Zahl am Ausgang das Verhaltnis zwischen der
Wandler, die zuerst 7 Bit und danach weitere Eingangs- und der Referenzspannung an. Die
3 Bit umsetzen. Andere haben Komparatoren Referenzspannung ist im Prinzip frei wahlbar.
mit Analogausgangen, wodurch ein weiteres ech- Der vom Hersteller empfohlene Wert sollte
tes Bit durch Interpolation gewonnen wird. Mit trotzdem eingehalten werden; denn eine kleine
diesem Verfahren erreicht man heute 14 Bit Auf- Referenzspannung fiihrt auch zu kleineren

(^) Ausgangssignal des


ersten 8-Bit-
AD-Wandlers

(2) Ausgangssignal des


zweiten 8-Bit-
AD-Wandlers

Eingangsspannung

Bild 9-18. Zusammengesetzte Arbeitsbereiche beider


Bild 9-19. Schneller Zweistufen-Parallel-Analog-Dig
Parallelwandler beim Zwei-Stufen-Parallel-AD-Um-tal-Wandler SP9560; 12-Bit, 10 MSPS (leicht vergr.)
setzer. Werkfoto: Sipex.
392 9 Digital-Analog- und Analog-Digital-Wandler

Unterschieden an den Komparatoren und ver- oder negative Spannung, die uber den Subtra-
groBert den relativen Offsetfehler. Eine zu groBe hierer den Integrator wieder auf null zuriick-
Referenzspannung fiihrt zu hoherer Verlustlei- zieht. Das nachgeschaltete Digitalfilter setzt den
stung im meist niederohmigen Spannungsteiler seriellen und verhaltnismaBig hochfrequenten
und kann die zulassige Eingangsspannung der Bit-Strom in digitale Werte um, welche den
Komparatoren iiberschreiten. Analogwert am Eingang mit niedriger Erneue-
AD- und DA-Wandler sind die Schnittstelle rungsrate aber hoher Auflosung wiedergeben.
zwischen der fein auflosenden und empfindli- Das Ergebnis kann man seriell oder parallel
chen Analogseite und der storfesten aber doch ausgeben.
leicht storenden Digitalseite. Wenn die von der Ein Anwendungsbeispiel ist der AD-Wandler in
Digital- zur Analogseite gekoppelten Storun- einem modernen digitalen Mobilfunknetz.
gen V2 LSB iiberschreiten, kann die meist teuer Hierbei laBt sich die Sprache mit 1 MHz ab-
erkaufte Genauigkeit des Wandlers nicht mehr tasten. Das Digitalfilter wandelt diesen Daten-
ganz genutzt werden. Deshalb miissen Analog- strom in ein Ausgangssignal mit beispielsweise
und Digitalseite sorgfaltig voneinander entkop- 8 kHz Erneuerungsrate und 14 Bit bis 16 Bit
pelt sein: gemeinsame Masseleitungen, deren Auflosung um.
Spannungsabfall vom Digitalsignal in den Ana- Der Delta-Sigma-Modulator ist einfach herzustel-
logkreis gelangt, sind zu vermeiden; ebenso len; der Aufwand liegt im nachfolgenden Digital-
miissen die Stromversorgungen von Analog- filter, das den groBten Teil der Chipflache bean-
und Digitalteil getrennt zugefuhrt und gesiebt sprucht. Diese Filter sind heute so wQit entwickelt,
werden. Getrennte Anschliisse am Wandler er- dass die Wandler innerhalb ihrer Leistungsgren-
leichtern diese Aufgabe. Wandler mit einer Auf- zen universell einsetzbar sind. Die Entwicklungs-
losung von 12 Bit und mehr sind deshalb beson- kosten des aufwendigen Digitalfilters sind aber
ders sorgfaltig anzuschliefien. Haufig enthalten nur bei groBen Stuckzahlen sinnvoll.
die Firmen-Datenbiicher genauere Hinweise. Dieses Prinzip macht den Delta-Sigma-Wand-
ler streng linear, wenig anfalhg gegen iiber-
9.2.5 Analog-Digital-Wandler lagerte Storungen, und es gibt keine Lucken im
nach dem Delta-Sigma-Verfahren Ausgangskode (missing codes). Eine Sample-
and-Hold-Schaltung ist nicht erforderlich. Das
Die Vorteile dieses nicht neuen Verfahrens las- Digitalfilter transformiert das Quantisierungs-
sen sich erst durch verbesserte Halbleitertech- rauschen zum groBten Teil in seinen Sperr-
nologien und groBe Stiickzahlen in der Produk- bereich, in dem auch uberlagerte hoherfre-
tion, beispielsweise bei digitaler Kommunika- quente Storungen in fast idealer Weise unter-
tionstechnik, nutzen. driickt werden. Bild 9-21 zeigt den DurchlaB-
bereich des Digitalfilters und das neue Fre-
quenzspektrum des Quantisierungsrauschens.
analoger i Integrator I Kom- Digjtalfilter | serieller
Subtrahie- I I parator Ausgang
rer \

-iO-^^=>^^H>T]
1 <
1-Bit-
DA-Wandlerl

Bild 9-20. Delta-Sigma-Analog-Digital- Wandler.

Bild 9-20 zeigt das Blockschaltbild eines Delta-


Sigma-AD-Wandlers. Das zeitlich verander-
liche Eingangssignal kommt iiber den analogen
Subtrahierer zum Integrator und verursacht an
dessen Ausgang ein Signal, das der Komparator Frequenz f
mit eins oder null bewertet. Der 1-Bit-Digital- Bild 9-21. Quantisierungsrauschen beim Delta-Sig-
Analog-Wandler erzeugt daraus eine positive ma- Wandler.
10.2 Beispiel einer elektronischen Regelung 393

10 Analoge Regelungstechnik a) Steuerung

Span-
Durch die zunehmende Verfeinerung der Tech- nungs-
nik lassen sich immer mehr wichtige Eigen- regler
schaften technischer Gerate durch eine Rege-
lung auf ihrem Sollwert halten. Regelungen sind U
4 w
nicht mehr wie in ihrer Anfangszeit auf indu-
strielle Prozesse beschrankt; sie begegnen uns
im Haushalt, im Auto und in vielen Gebrauchs-
gegenstanden. Friiher waren beispielsweise elek-
'
1 L
r ^

b) Regelung
trische Handbohrmaschinen mit mehreren me-
chanisch umschaltbaren Ubersetzungen die Re- Span-
gel; heute kommt eine elektronische Geschwin- nungs-
regler
digkeitsregelung dazu, welche die vorgewahlte
Drehzahl in weiten Grenzen unabhangig von U
der Belastung konstant halt. Auch elektroni- ^^-1 (7\ Q M I
= ( M ) ^
sche Schaltungen enthalten viele Regelkreise,
die aber erst dann auffallen, wenn sie nicht stabil f
^
h-i •
sind und unerwunschte Schwingungen verursa-
chen. Bild 10-1. Drehzahleinstellung eines Motors mit
einer Steuerung und einer Regelung.
10.1 Steuerung und Regelung
Drehzahl durch Belastung, dann wird der ho-
Eine wichtige GroBe kann man auf zwei ver- here Ankerstrom nicht durch eine geringere Ge-
schiedene Arten beeinflussen. Dies sei anhand genspannung des Motors, sondern durch eine
der Drehzahleinstellung einer Handbohrma- hohere Ankerspannung erzeugt. Dabei sinkt die
schine erlautert. Bild 10-1 zeigt zwei Moglich- Drehzahl nur sehr wenig ab. Die wichtige
keiten, die Drehzahl eines Elektromotors zu be- GroBe der Drehzahl wird sensiert und mit
einflussen. Das magnetische Erregerfeld ist in ihrem Sollwert verglichen. Eine Soll-Istwert-
beiden Fallen konstant. In Bild 10-1 a gibt der Abweichung verursacht eine KorrekturgroBe,
Spannungsregler eine am Potentiometer einge- die den erwiinschten Zustand wieder herstellt.
stellte feste Speisespannung an den Anker. Im Diese gezielte Korrektur der Abweichung ist
Leerlauf erzeugt der Motor die entsprechende eine Regelung. Die Elemente der Regelung sind
Gegenspannung, der Ankerstrom ist sehr klein, im Bild 10-1 b rot dargestellt. Regelungen sind
und die Leerlaufdrehzahl hangt praktisch nur nicht an eine elektrische Maschine gebunden.
von der Speisespannung ab. Bei Belastung muB Sie konnen rein mechanisch, beispielsweise als
der Motor ein grofieres Drehmoment erzeugen, Fhehkraftregler einer Dampfmaschine, oder
das direkt dem Produkt aus magnetischer Feld- hydraulisch, wie bei der Servolenkung eines
starke und dem Ankerstrom /^ proportional ist. Kraftwagens, oder elektronisch ausgefuhrt sein,
Dieser Strom steigt nur dann, wenn die Gegen- wie im nachfolgenden Beispiel einer geregelten
spannung des Motors und damit seine Dreh- Stromversorgung.
zahl abnimmt. Besonders bei kleinen und leich-
ten Motoren nimmt die Drehzahl mit der
Belastung spiirbar ab. Die tatsachhche Dreh- 10.2 Beispiel einer elektronischen
zahl beeinfluBt die Ankerspannung nicht; man
spricht von einer Steuerung.
Regelung
Bild 10-1 b gih fur den gleichen Motor. Ein Sen- Bild 10-2 a zeigt eine feste Stromversorgung.
sor S stellt die tatsachhche Drehzahl des Mo- Die Ausgangsspannung ist der Eingangsspan-
tors fest, der Verstarker V vergleicht diese Dreh- nung proportional. Durch den Innenwider-
zahl, den Istwert, mit der eingestellten Dreh- stand des Transformators und der Gleichrich-
zahl, dem Sollwert, und erzeugt daraus die terschaltung sinkt die Ausgangsspannung mit
SteuergroBe des Spannungsreglers. Sinkt die zunehmendem Strom. Im Bild 10-2b vergleicht
394 10 Analoge Regelungstechnik

a) Feste Stromversorgung 10.3 Beispiel einer elektronisch-


mechanischen Regelung
T
] 1
Das zeitliche Verhalten eines Regelkreises sei an
einem ferngesteuerten Greifarm nach Bild 10-4
erlautert. Das Istwertpotentiometer P2 setzt die
b) Geregelte Stromversorgung Position des Greifarms in eine Spannung um,
Span-
-^nungsregler
die mit der Spannung des Sollwertstellers P^
verglichen wird. Der Ausgang des Differenzver-
starkers speist direkt den Antriebsmotor.
a) Schematischer Aufbau
Sollwertgeber Pi Istwertgeber P2
Bild 10-2. Feste und geregelte Stromversorgung.

ein Verstarker die ganze oder geteilte Ausgangs-


spannung mit einer Referenz. Der Regler ver-
starkt die eventuelle Abweichung und korrigiert
die Ausgangsspannung liber das Stellglied. Die
ffi ^H

Ausgangsspannung hangt nur noch ganz wenig Verstarker


von der Belastung und der Primarspannung
ab, da sie geregelt ist. Ein zusatzlicher, hier
nicht gezeichneter Regelkreis begrenzt den
Ausgangsstrom, wenn er einen vorgegebenen
Hochstwert erreicht, um Schaden der Strom-
b) Ubertragungskennlinie
versorgung und des Verbrauchers durch tjber-
strom zu vermeiden.
•u„
Energie- Storung des A(p
Zufuhr Istwert Istwerts
Stell-
Belastung
glied

i I
St Buer-
gr(DRe Istwert Bild 10-4. Greifarm mit Antrieb.

< Sollwert Bild 10-4b zeigt die Motorspannung abhangig


von der noch vorhandenen Winkelabweichung.
Im Interesse einer geringen Restabweichung er-
halt der Motor schon bei kleinen Winkelunter-
Bild 10-3. Einfacher Regelkreis. schieden die voile Betriebsspannung (rote
Kennlinie). Wird der Sollwert verstellt, dann
Bild 10-3 zeigt die wichtigen Teile des Regel- dreht der Motor den Greifarm in die neue Stel-
kreises als Blockschaltbild. Ein Verstarker ver- lung. Ist sie erreicht, wird die Motorspannung
gleicht den Sollwert mit dem Istwert und er- null; der elektrische Antrieb hort auf, aber die
zeugt aus der Differenz eine Steuergrofie, die Schwungmasse des Motors und der Mechanik
iiber das Stellglied den Istwert korrigiert. Je ho- bewegt den Greifarm weiter, lauft iiber den Soll-
lier die Differenz verstarkt wird, desto geringer wert hinaus und wird iiber die Regelung mit
ist die Restabweichung. Diese statische Be- elektrischem Antrieb zuriickgestellt. Abhangig
trachtung ist zwar richtig, aber unvoUstandig. von der mechanischen Reibung (der Dampfung)
Der so dimensionierte Regelkreis funktioniert und der Starke des Antriebs bei zunehmender
nur in Ausnahmefallen, wenn sein dynamisches Abweichung (der Verstarkung), kann der Arm
Verhalten auBer acht bleibt. zur Ruhe kommen oder weiterschwingen. Ist
10.5 Elemente des Regelkreises und ihre Eigenschaften 395

die Verstarkung im Regelkreis kleiner, wie die digkeits- und beschleunigungsabhangige Kraf-
schwarze Kurve des Bildes 10-4b zeigt, dann te, zum Gleichgewicht gebracht. Die Position,
wird der Antrieb fruhzeitig vor dem Sollwert die Geschwindigkeit und die Beschleunigung
kleiner; die Dampfung zehrt die Bewegungs- der geregelten GroBe lassen sich durch eine Dif-
energie auf, und der Arm bleibt schnell stehen. ferentialgleichung ausdriicken, deren Losungen
Die Regelung laBt sich durch eine geeignete das zeitliche Verhalten nach einer Storung be-
Riickfuhrung zwischen dem Soil- und Istwert- schreiben. Da der mathematische Aufwand
vergleich und der Motorspannung optimieren. schon bei einfachen Regelkreisen schnell an-
Sie erreicht den neuen Wert schnell und mit steigt, wird dieser naheliegende Weg heute sel-
geringer Abweichung. ten und nur bei ganz einfachen Regelkreisen
beschritten. Statt dessen untersucht man Regel-
kreise mit verschiedenen leistungsfahigen Ver-
10.4 Grundsatzliche Betrachtung fahren. Dabei ist das Bode-Diagramm ein an-
einer Regelung schaulicher Weg. Die Reaktion im Zeitbereich
wird haufig mit der Laplace-Transformation be-
Eine Regelung ist einer Gegenkopplung sehr rechnet.
ahnlich. Beide erzeugen mit Hilfe eines Regel-
kreises Oder eines Verstarkers aus einer Steuer-
groBe eine AusgangsgroBe. Dabei wird die Aus-
gangsgroBe mit der SteuergroBe verglichen und 10.5 Elemente des Regelkreises
die Differenz auf den kleinstmoglichen Wert ge- und ihre Eigenschaften
bracht. Andere GroBen, beispielsweise Ande-
rungen der Versorgungsspannung oder ein Die Analyse eines Regelkreises betrachtet das
nichtlineares Ubertragungsverhalten einzelner zeithche Verhalten der Summe aller Glieder im
Ubertragungselemente regelt man weitgehend Signalweg. Dazu muB das Verhalten jedes ein-
aus. Regelungen und Gegenkopplungen sind zelnen Gliedes im Regelkreis bekannt sein. Bild
ein bewahrtes Mittel, um unerwiinschte Ein- 10-5 zeigt die wichtigsten Eigenschaften einiger
flusse oder Unzulanglichkeiten auf einfache und Regelglieder.
meist kostengiinstige Weise zu korrigieren. Die physikahschen oder mathematischen Ei-
Die Korrektur durch den Regelkreis oder die genschaften bestimmen den Namen des Regel-
Gegenkopplung muB der Abweichung entgegen- gliedes (1. Spalte). Die Differentialgleichung
wirken. Darauf beruht jede Regelung. Aber die (2. Spalte) beschreibt dieses Verhalten ganz all-
Steuer- und AusgangsgroBen andern sich mit gemein. Die Spalte 3 zeigt die Antwort des Ele-
der Zeit, wenn die Regelung den EinfluB einer ments auf einen Sprung der EingangsgroBe.
StorgroBe ausgleichen muB. Die Leistungsele- Nach dem Verlauf dieser Antwort benennt man
mente enthalten normalerweise Energiespei- das Regelglied. Die Spalte 4 gibt die Ubertra-
cher, deren geregelte AusgangsgroBen der Kor- gungsfunktion wieder, die der Quotient aus Aus-
rekturgroBe nicht tragheitslos folgen, da sich gangs- und EingangsgroBe ist, deren Verhaltnis
der Energieinhalt nicht beliebig schnell andern nach den Regeln der komplexen Rechnung er-
laBt. Durch diese Verzogerung kann die Kor- mittelt wird. Die Konstante K entspricht der
rektur mit der richtigen Grofie aber zurfalschen Verstarkung oder Abschwachung des Regelglie-
Zeit oder zur richtigen Zeit mit dem falschen des, wahrend die GroBe T^ eine Zeitkonstante
Vorzeichen kommen. Die Abweichung wird erster Ordnung und T2 eine zweiter Ordnung
nicht verkleinert, sondern vergroBert, d.h. die ist. Die GroBe s steht fiir j co, das Produkt aus
Regelung schwingt. In der modernen Rege- der Kreisfrequenz co, bei der gemessen wird,
lungstechnik hat man mehrere Verfahren ent- und der GroBe ; = ^z^—T.
wickelt, diese Eigenschaften zu erkennen, zu
Als Beispiel soil die Ubertragungsfunktion des
analysieren und mit einer geeigneten Riickfiih-
Verzogerungsgliedes 1. Ordnung in Zeile zwei
rung einen stabilen Regelkreis zu erstellen. Dies
bestimmt werden. Das RC-Glied ist ein Span-
erfordert fast immer einen erheblichen mathe-
nungsteiler aus dem konstanten Widerstand
matischen Aufwand.
R und dem frequenzabhangigen Widerstand
Dabei werden alle antreibenden und alle hem- 1/jcoC. Die Ausgangsspannung ist mit RC = T^
menden Krafte, das sind konstante, geschwin- und jcD = s
396 10 Analoge Regelungstechnik

Beispiel
Regel- Differentialgleichung Sprungantwort Ubertragungs- Bode-Diagramm
glied Symbol des funktion Verstarkung (03)
RegelglJedes Phase(w) elektrisch mechanisch

ig4 Hebel, drehbar


Igo) H+"^^^^ urn A
, A
uAt) = KuAt)
Proportio- Igco
nalglied ^
Fis)='^^=K
Ue{s) -901-

l^a

Verzoge-
rungsglied
1. Ordnung
2Z T t
F(s)=
K
l + sfi

'gv^i iiC ^ _Volumen


• ^a
Igo)
'e^)
uAf) = KJuAt)6t
n Igco
Integrier- F(s) = - ^ -
glied -90|

Igv^l
u F(w)=yw/C Igw
f^4>^
II I I ^v^4
viskose
Flussigkeit

Differen-
zierglied
uAti^r-K
t:Z F{s)=sK
Igco
Eingang Aus-
gang
D,T, ig«^i
• u. Igco Xe
r,^f- + u,(f) ^ ' 1 +ycori
Differen-
zierglied sK
mit Ver- F(s) = 0
l+sTi Igco
zogerung
-901

• "a ig v'l
F(co)= Igco
^2 df2 +
r:
Verzoge-
rungsglied = KuAt)
K
l+ycoTi-co^Tg^ — • •

igto
hxxi"'
2. Ordnung 90|
aperiodisch F(S) = Starke
D>^
•180 Dampfung
l+sTi+s^Tg^

-iii^ ^

periodisch 0<D<1

Bild 10-5. Aufbau und Verhalten einiger wichtiger Glieder im Regelkreis.


10.5 Elemente des Regelkreises und ihre Eigenschaften 397

Unbe-
R + l/jo)C "j(aCR + l Frequenz- kanntes
generator Belastung
Glied im
1 Regelkreis
1 + sTi
Daraus erhalt man die Obertragungsfunktion
MeBgeratfiJr \ / = J4_
undfur(p(L/3,L/e)
(10-1)

Bild 10-6. Mefianordnung zur Bestimmung der Uber-


Bei Gleichstrom und sehr niedrigen Frequen- tragungsfunktion nach Betrag und Phase.
zen sind Ausgangs- und Eingangsspannung
gleich groB: die Konstante K ist hier 1. ten Regelkreises, der mit der vorgesehenen Last
Das Bode-Diagramm (Spalte 5) ist die grafische abgeschlossen ist. Ein MeBgerat miBt die Be-
Darstellung der Obertragungsfunktion F (s) trage der Eingangs- und Ausgangsspannungen
Oder F (co). Das obere Diagramm zeigt die Ver- l/g und U^\ den Quotienten tragt man automa-
starkung v als Funktion der Frequenz: man be- tisch Oder manuell auf. Ebenso miBt man den
rechnet sie als i; = | F |, stellt sie zweckmaBig als Phasenwinkel zwischen U^ und U^ und zeichnet
201gi; dar und miBt in dB. Das untere Dia- ihn auf. Danach lassen sich der Typ des Regel-
gramm zeigt die Phasendrehung als Funktion ghedes (auch als Mischtyp denkbar) und dessen
der Frequenz. Der Phasenwinkel errechnet sich Kennwerte bestimmen. Bei manchen Regelglie-
aus dem Quotienten aus Imaginar- und Real- dern ist die Reaktion auf einen Sprung am Ein-
teil, tanc^ = Im(f)/Re(f), und wird ublicherweise gang leichter zu ermitteln. Mit diesen Kenntnis-
in Grad angegeben. sen laBt sich ein Regelkreis aus den Symbolen
Die letzte Spalte zeigt links eine elektronische darstellen. Ihre Kennwerte werden weiter unten
Schaltung und rechts eine mechanische Anord- ermittelt. An Beispiel der geregelten Stromver-
nung, die durch die Gleichungen und Dia- sorgung aus Bild 10-2 ergibt sich das in Bild
gramme der Zeile gekennzeichnet sind. Die 10-7 dargestellte Blockschaltbild.
elektrische GroBe U muB man dabei durch den
Weg X ersetzen. a) Vereinfachtes Schaltbild
tjber die in Bild 10-5 zusammengestellten Re- ungeregelte
gelglieder hinaus gibt es noch eine Vielzahl wei- Eingangsspannung
terer Elemente: beispielsweise kombinierte Ele- Treiber- Leistungs-
mente, Glieder mit nichtlinearer Ubertragungs-
charakteristik und Totzeitglieder.
Einen Regelkreis muB man schematisch mit die-
sen Elementen darstellen, um sein Ubertra-
h> Transistor

T-3
<
Transistor

<_^

gungsverhalten und damit seine Eigenschaften V, = 7000


zu untersuchen. Haufig ist die Obertragungs- Ti = 8 ms T3 = 2,5 \iS T2 = 33 tis
funktion aus dem Aufbau bekannt. Sie stellt 03, = 1 2 6 - ^3=4-10^1 co2 = 3-10^-
dabei die Reaktion auf eine stationar anlie-
gende Wechselspannung dar und ist bei elek- b) Regelungstechnisches Blockschaltbild

tronischen Elementen in einem Regelkreis mit


der komplexen Rechnung verhaltnismaBig ein-
k^HKHK
fach zu bestimmen. Die Regelglieder kennzeich-
net man dabei mit ihrer Sprungantwort als
Symbol.
V=700 W.=126— 0)3 = 4 - 1 0 ^ -
' s s
co2=3-10V
s
d
Das unbekannte Obertragungsverhalten eines
Regelkreisghedes laBt sich mit der MeBschal-
tung nach Bild 10-6 bestimmen. Dabei speist ein Bild 10-7. Regelungstechnisches Blockschaltbild ei-
Frequenzgenerator den Eingang des unbekann- ner geregelten Stromversorgung.
398 10 Analoge Regelungstechnik

10.6 Vorgehen beim Entwurf Beij o) T = 1 befmden sich Polstellen. Sie stellen
die tJbergangsfrequenzen dar, bei denen die
einer stabilen Regelung Verstarkung auf 1/^2 abgesunken und die
Folgende drei Fragen miissen grundsatzlich bei Phasendrehung 45° ist.
der Beurteilung eines Regelkreises beantwortet
werden:
- 1st der Kreis stabil?
- Wie schnell erreicht die Regelung ihren neuen 10.6.2 Stabilitatsbedingung
Endwert?
- Wie groB ist die verbleibende Abweichung, Die Korrekturgrofie muB, wie oben erlautert
wenn der Kreis zur Ruhe gekommen ist? wurde, stets der Abweichung entgegenwirken. Ist
die Polaritat der Regelverstarkung falschlicher-
weise positiv, dann lauft die geregelte Gr5Be an
10.6.1 Aufbau ihr Bereichsende und bleibt dort stehen. Meh-
Bin einfacher Spannungsregler ist in Bild 10-7 rere Verzogerungsglieder im Regelkreis konnen
dargestellt. Er enthalt in der Regelstrecke den das Korrektursignal und seine Auswirkung so-
Leistungstransistor mit Treiber, mit der Span- weit dynamisch verzogern, daB es die Abwei-
nungsverstarkung V2 = ^ und der Zeitkonstan- chung nicht verkleinert, sondern vergroBert.
ten T2, den Vorstufentransistor mit v^ = \ und Dies geschieht, wenn die Phasendrehung in der
der Zeitkonstanten T3, sowie den Regelverstdr- ganzen Regelstrecke 180° ist oder weiter zu-
ker, beispielsweise einen Operationsverstarker nimmt und die Kreisverstarkung v>l wird. In
mit der Zeitkonstanten T^ und der Verstarkung diesem Fall erzeugt die Abweichung eine entge-
v^. Damit laBt sich die frequenzabhangige gengesetzte KorrekturgroBe, welche die Abwei-
Spannungsverstarkung jedes Gliedes berech- chung weiter vergroBert, so daB es zum Schwin-
nen. Der Regelverstarker erhalt die Eingangs- gen des ganzen Kreises kommt. Eine solche
spannung Aw und gibt die Spannung u^ ab. Die Regelung ist unbrauchbar. Jedes Verzogerungs-
Verstarkung des ganzen Kreises ist I^Q = 1^11^2 ^3 • glied, das haufigste Element im Regelkreis,
Damit ist kann die Phase hochstens um 90° drehen, zwei
GHeder erreichen 180° asymptotisch, wahrend
Mi(co) = Aw(a)) sich die Verstarkung mit 40 dB/Dekade verrin-
l+;coTi gert, wie die Bilder 10-8 a und 10-8 b zeigen.
Mit Aw = w^ We erhalt man Regelkreise mit zwei Verzogerungsgliedern sind
im Prinzip stabil. Sie konnen den neuen End-
1 wert schnell und direkt (Bild 10-8 b, Kurve 3)
X-^JCDT^ Oder langsam nach einer gedampften Schwin-
gung (Bild 10-8 b, Kurve 1) erreichen. Der Zu-
Die Reihenschaltung der drei Elemente ergibt
sammenhang zwischen Verstarkung, Phasen-
die frequenzabhangige Verstarkung der Regel-
gang und Einschwingverhalten wird weiter un-
strecke v{a>) zu ten erlautert.
Die meisten Regelkreise haben mehr als zwei
Verzogerungsglieder; sie konnen deshalb die
Phase um mehr als 180° drehen. Wenn die
Ubertragungsfunktion aufgestellt ist, kann man
den Betrag der Verstarkung gleich 1 setzen und
Die Ubertragungsfunktion der ganzen Regel- die zugehorige Frequenz berechnen. Die Pha-
strecke ist sendrehung der Verstarkung bei dieser Fre-
quenz gibt Auskunft iiber die Stabilitat. Das
Verfahren ist muhsam zu rechnen, wenig an-
schauHch und gibt keine Auskunft dariiber, wel-
che Parameter zu andern sind, um zu einem
stabilen Regelkreis zu kommen. Deshalb hat es
keine praktische Bedeutung.
10.6 Vorgehen beim Entwurf einer stabilen Regelung 399

a) Verstarkung und Phasendrehung als Funktion der des offenen Regelkreises an. Damit laBt sich so-
Kreisfrequenz co fort beurteilen, wie groB die Verstarkung bei
10000 180° Phasendrehung ist oder umgekehrt, wie
weit der Regelkreis die Phase dreht, wenn seine
1000 Verstarkung auf eins abgesunken ist. Das Bode-
KCi) Diagramm des Regelkreises besteht aus zwei
Diagrammen mit der Frequenz als unabhangi-
o) 100
\ ( 2 ^ ger Variablen, die auf der waagrechten Achse
logarithmisch aufgetragen ist.
53 10 Das erste Diagramm zeigt den Betrag der Ver-
starkung in logarithmischer Darstellung, wobei
(^ der Knick oder die Stelle grofiter Krummung die
l\'^ Grenzfrequenz dieses Elementes darstellt. Das
zweite Diagramm zeigt die linear aufgetragene
0,1
^ Phasenabweichung als Funktion der Frequenz

1^ (Bild 10-5, Spalte 5).


Schaltet man mehrere GHeder eines Regelkrei-
ses in Reihe, dann wird ihre Phasendrehung
addiert und ihre Verstarkung bei der betrachte-
2 -90' ten Frequenz multipliziert. Da die Verstarkung
der Einzelelemente logarithmisch dargestellt
sj^ ist, addieren sich diese zur Gesamtverstarkung.
-180'
10 100 1000 10 K 100 K 1000 K Bild 10-9 zeigt das Bode-Diagramm des offenen
Kreisfrequenz co/ Regelkreises des Spannungsreglers aus Bild
s
b) Einschwingen nach einer sprungartigen Storung 10-7. Dieser Kreis hat folgende Elemente: Der
Regelverstarker ist als Operationsverstarker
2
ausgelegt mit der Verstarkung v^ = 10Q0\ dies
entspricht 77 dB in logarithmischer Darstel-
< © lung. Seine Grenzfrequenz ist /^ = 20 Hz, co^ ist


126 1/s, und die entsprechende Zeitkonstante
ist r^ = 8 ms.

r
^
^^ Der Leistungstransistor hat die Spannungsver-
starkung V2 = ^\ seine 3-dB-Grenzfrequenz be-
tragt /2 = 4,8 kHz. Damit ist CO2 = 30 • 103 1/s,
und die entsprechende Zeitkonstante betragt
/ T2 = 33 |is.
Der Treibertransistor des Leistungstransistors
Normierte Einschwingzeit bezogen auf die _(_ hat die Spannungsverstarkung 1^3 = 1; die
Perlodendauer der Eigenfrequenz /b 1/^o
3-dB-Grenzfrequenz betragt f^ = 63,7 kHz,
BildlO-8. Verstarkung, Phase und Einschwingver- 0)3 = 0,4 • 106 1/s, mit der Zeitkonstanten
halten in einem Regelkreis 2. Ordnung. T3 = 2,5 |is.
Die Kurve A^ (schwarz) in Bild 10-9 zeigt die
10.6.3 Beurteilung eines Regelkreises frequenzabhangige Verstarkung des Regelver-
mit dem Bode-Diagramm starkers. Sie betragt v = 7000 oder 77 dB (77 dB
In vielen Fallen lassen sich diese Fragen auch = 20 Ig 7000) und nimmt, beginnend mit der
ohne Losung einer Differentialgleichung und Grenzfrequenz, um 20 dB je Dekade ab. Bei
ohne groBen Aufwand an hoherer Mathematik der Grenzfrequenz ist die Verstarkung um 3 dB
beantworten. Das Bode-Diagramm zeigt hierzu geringer als bei Gleichstrom oder bei sehr nied-
anschaulich und mit einfachen mathematischen rigen Frequenzen. Im unteren Teil des Dia-
Mitteln das Frequenzverhalten des Regelkreises. gramms gibt die Kurve P^ die Phasendrehung
Es gibt die Verstarkung und die Phasendrehung in Abhangigkeit von der Frequenz an. Sie be-
400 10 Analoge Regelungstechnik

erreicht bei der Grenzfrequenz den halben End-


80
wert, das sind 45°. Der Phasengang der Verzo-
70
gerungsgUeder 2 und 3 ist als P2 und P3 einge-
,Ai tragen.
1 ^ 1 . ^
60 Die tJberlagerung (grafische Addition) der im
Bild dargestellten Amplitudengange A^, A2
50
und A3 ergibt die rot eingezeichnete, frequenz-
40
abhangige Verstarkung A(co) des ganzen Regel-
kreises. Die drei einzelnen Phasengange P^, P2
30 und P3 werden ebenso zum roten Phasengang
des ganzen Regelkreises P(co) addiert. Der
20 \ A AmpUtuden- und der Phasengang mussen die
A^w) V \ gleiche Teilung der Frequenzachse aufweisen.
\
10 Bei der Darstellung des Amphtudengangs ha-
V=--+4 6B \ ben sich gleiche Strecken fur eine Frequenzde-
0
3L N kade und fur 20 dB Verstarkung als zweck-
-10
A,
1\ maBig erwiesen. Die Verstarkungsfunktion ver-
lauft dann oberhalb der Grenzfrequenz unter
45° nach unten. Man zeichnet die Kurven am
-20
besten auf einfach logarithmisches Millimeter-
10)1 = 126— W2 = 3- 10^- 0)3=4-1 0 ^ ^
-30 Li ^
s s - papier und bezeichnet die Verstarkung mit dB.
s
^2 = 4,8 kHz ^3 = 63 7 kHz
fi = 20Hz An diesem Bode-Diagramm laBt sich die Stabi-
T2 = 33 T3 = 2,
0 I i = 8 ms 1-1
litdt des Regelkreises direkt beurteilen. Dazu ist
bei 180° Phasendrehung eine diinne gestrichelte
Linie eingezeichnet, die den AmpHtudengang
bei der Verstarkung + 5 dB schneidet, was dem
Verstarkungsfaktor 1,78 entspricht. Die Ver-
^-90'
starkung bei der Frequenz mit 180° Phasendre-
hung ist nicht kleiner als eins, d. h. der Regel-
kreis ist nach obiger Definition nicht stabil.
-180' MaBgebend fur das instabile Verhalten ist der
dritte Pol bei co^ = 0,4 • 10^ 1/s, der die Phasen-
drehung iiber 180° hinaus erst ermoglicht. Es
-270'
ware zwecklos, diesen Spannungsregler in die-
10^ 10^ 10^ 10^ 10^ ser Weise aufzubauen, da er schwingt und damit
unbrauchbar ware.
BildlO-9. Bode-Diagramm des Spannungsreglers Das Bode-Diagramm gibt Hinweise, welche
aus Bild 10-7 (instabiler Kreis). Parameteranderung die Stabihtat verbessert.
Wie weiter unten gezeigt wird, kann ein Regel-
tragt bei der Grenzfrequenz 45°; sie ist aber kreis mit der Verstarkung eins und 175° Pha-
schon bei wesentlich niedrigeren Frequenzen sendrehung keine Schwingung auf Dauer erhal-
sichtbar (etwa ab /g/30). ten: die nach einer Storung einsetzende Schwin-
Dieser Amplitudengang A^ und der Phasengang gung klingt aber nur sehr langsam ab. Man
Pi werden fur alle Elemente des Regelkreises kann zeigen, daB 120° Phasendrehung bei der
eingetragen. Die beiden als Stromverstarker in Verstarkung v = l den Regelkreis optimal ein-
KoUektorschaltung arbeitenden Transistoren schwingen laBt. Deshalb wurden in Bild 10-10
haben die Verstarkung v = 1. Deshalb beginnen einige Parameter geandert. Die Phasendrehung
ihre Kurven A2 und A3 bei 0 dB und biegen bei der Verstarkung v = l soil nicht mehr als
jeweils bei ihrer typischen Grenzfrequenz ab. 120° betragen, d.h., der erste Pol darf sich voll
Die Phasendrehung eines Verzogerungsgliedes auswirken, wahrend der zweite nur 30° Phasen-
hangt nicht von dessen Verstarkung ab: sie geht drehung erzeugen darf, und sich deshalb ober-
von 0° bis —90°, hat immer dieselbe Form und halb der Frequenz mit der Verstarkung v = l
10.6 Vorgehen beim Entwurf einer stabilen Regelung 401

60 kung des ganzen Regelkreises soweit, daB sie bei


120° Phasendrehung v = l oder OdB ist; die
Linie AQ wird zum neuen, rot dargestellten Am-
plitudengang A verschoben. Dieser Regelkreis
40 ist stabil. Wie in Abschn. 10.6.4 beschrieben ist,
erreicht er seinen neuen Endwert nach einer
Storung schnell und ohne spiirbares Uber-
schwingen.
20

10.6.4 Einschwingverhalten
v=^ ^ O d B \ ^
Ein Regelkreis, der bei der Verstarkung t; = 1 die
Phase weniger als 180° dreht, ist im Prinzip sta-
bil. Jede Anderung der Eingangsparameter ver-
andert den Arbeitspunkt im Regelkreis. Die Re-
20 gelung folgt langsamen Anderungen direkt,
|oJi = 126 1 032 = 2 - 10^
1 \ schnellen jedoch nur mit der groBten Zeitkon-
s \ stante T^. Schnelle Anderungen werden mit
Ki=20Hz ^2 = 32 kHz
Ti = 8 ms T2 = 5iAS Hilfe einer sprungartigen Storung auf einen
Eingangsparameter untersucht. Hierzu kann
man die Differentialgleichung des Regelkreises
aufstellen und seine Reaktion auf einen Sprung
am Eingang untersuchen. Das Verfahren ist be-
sonders bei komplizierten Regelkreisen mathe-
•? -90' matisch schwierig und aufwendig.
In der Praxis hat sich deshalb die Berechnung
mit der Laplace-Transformation durchgesetzt.
-180' Dabei untersucht man den Regelkreis nicht im
10^ 10^ 10^ 10^ 10^ Zeitbereich, sondern im Frequenzbereich. Dazu
Kreisfrequenz (o/-g- transformiert man den Sprung im Zeitbereich
u^{t) in den Frequenzbereich U^{CD) und multi-
Bild 10-10. Bode-Diagramm des optimierten Regel- pliziert mit der IJbertragungsfunktion F (co) =
kreises zu Bild 10-7 (stabiler Kreis). u^ {a))/u^ (co). Das Produkt ergibt die Ausgangs-
spannung u^(co) im Frequenzbereich. Sie laBt
sich in den Zeitbereich zuriicktransformieren
befinden muB. Weitere Pole soil ten mindestens
und gibt direkt den zeithchen Verlauf der gere-
eine Frequenzdekade hoher sein. gelten GroBe u^{t) an. Die Ubertragungsfunk-
Im konkreten Fall verwendet man schnellere tion laBt sich mit der komplexen Rechnung
Leistungstransistoren: die Grenzfrequenzen /2 leicht bestimmen, wahrend die Laplace-Trans-
und /3 steigen, und die Phasendrehung bei der formation in der technischen Praxis aus Tabel-
Kreisfrequenz co = 10^ s"^ nimmt deutlich ab: len zu entnehmen ist. Das sei am Beispiel des
statt bisher 180° betragt sie nur noch 120°. Die obigen Regelkreises gezeigt.
Verstarkung bei dieser Frequenz nimmt zu, weil
Wegen der einfacheren Darstellung soUen nur
der zweite Pol (/2) zu hohen Frequenzen ver-
die beiden Verzogerungsglieder mit den groBten
schoben wurde (diinne schwarze Linie AQ im
Zeitkonstanten fur die (Jbertragungsfunktion
Bild 10-10). Es gilt die einfache Grundregel: berucksichtigt werden (Gl. (10-4)). Es gilt fiir die
Giinstig ist ein dominierender Pol (hier co^), der Ubertragungsfunktion
die Verstarkung mit zunehmender Frequenz ab-
senkt. Wenn der zweite Pol kommt, sollte die
«aM_
Verstarkung schon bei — 3 dB bis — 5 dB sein. = F(a)) (10-4)
Die Grenzfrequenz dieser beiden Verzogerungs- Vo
glieder laBt sich nicht beliebig erhdhen. Deshalb 1+7 a>iT, + T,)--f (0^ T^T,-
verringert man zweckmaBigerweise die Verstar-
402 10 Analoge Regelungstechnik

In den Tabellen der Laplace-Transformation - D < 0: Schwingen mit zunehmender Ampli-


wird statt j co haufig s geschrieben. Die Korre- tude.
spondenztabelle zeigt s^ mit dem Koeffizienten In der Regelungstechnik ist 0 < i ) < l der hau-
1. Gl. (10-4) laBt sich umformen, so daB gilt figste Fall; nur er wird hier zu Ende gefuhrt.
Fiir D < 1 gilt fur die Ausgangsspannung
F(s. fo 1
(10-5) 1
u,{t) = u,{t)
T,T,p^

Das Produkt aus der Sprungfunktion u^ (s) und


der Ubertragungsfunktion F(s) im Frequenzbe-
H1 — I cos CO ^ + — sin CO 11 e
CO

Bei t; > 1 vereinfacht sich die Funktion zu


reich (s) gibt die Reaktion des Systems u^{s) auf
einen Sprung am Eingang im Frequenzbereich
wieder. Die Sprungfunktion u^ lautet im Fre- u,{t) = u,{t) 1 — I cos (Dt ^— sin CO f I e
quenzbereich
(10-8)
Uso
Usis) = (10-6)
Mit den Zahlenwerten aus Bild 10-10 kann man
mit vereinfachten Ausdrucken weiterrechnen.
Die Ausgangsspannung u^ nach einem Span- Es gilt
nungssprung U^ als Storung ist

^s ^0 1
Wa(s) =
s T.nj^^^TV^^^, Dann gilt auch
T,T, T,T,
also a = 1/2 T2 , (10-9)
(10-10)
(10-11)
a 1 T,
D=- = (10-12)
P 2\]VQT2

Mit den folgenden Abkiirzungen und der Stor-


Gl. (10-12) zeigt deutlich, daB der Dampfungs-
spannung u^ (t) = U^a erhalt man aus der Kor-
grad D mit abnehmender Verstarkung VQ groBer
respondenztabelle zwei Losungen fur u^{t), Es
und der Regelkreis damit stabiler wird. Bild 10-
gilt
11 zeigt das Einschwingverhalten des beschrie-
T, + T2 1+1^0 benen Regelkreises bei verschiedenen Damp-
= 2a, = P' und D = - . fungsgraden D.

D ist der Dampfungsgrad des Systems. Er be-


stimmt das Einschwingverhalten nach einer 10.6.5 Verbleibende Abweichung
Storung. Man unterscheidet folgende vier wich-
tige Falle: Der Regelkreis besteht aus dem Regelverstarker
P mit der Verstarkung VQ = 700 und dem Stell-
- D>1: Kriechfall glied, den beiden Transistoren, deren Span-
Der neue Wert wird langsam erreicht. nungsverstarkung nahezu 1 ist und die der Ein-
- Z) = 1: aperiodischer Grenzfall fachheit halber mit 1 eingesetzt wurden. Beim
Der Wert wird schnell, aber ohne Uberschwin- hier vorhegenden Proportionalregler hangt die
gen erreicht. verbleibende Abweichung von der GroBe der
- D <1: Einschwingen mit geddmpfter Schwin- Storung und der Verstarkung des Regelkreises
gung; ab. Andert sich der Laststrom um A/, dann muB
10.7 Zusammenfassung 403

AU/AI = l/vS. Mit den Zahlenwerten S =


1 A/V fur die Steilheit des Leistungstransistors
und der Verstarkung VQ fur den Regelverstarker
erhalt man den Innenwiderstand der geregel-
ten Stromversorgung: R. = (1/700) Q = 1,4 mQ.
Dies bedeutet: Nimmt der Ausgangsstrom um
1A zu, dann sinkt die Ausgangsspannung um
1,4 mV ab.

10.7 Zusammenfassung
0 1 Der vorstehende Abschnitt weist auf die Eigen-
2
Einschwingzeit in Vielfachen der Periodendauer1/fo
heiten und die Probleme in einem Regelkreis
Bild 10-11. Einschwingen eines Regelkreises mit ver- bin. Bei einer Gegenkopplung oder einer sonsti-
se hie denen Ddmpfungsgraden D. gen Riickfuhrung des Ausgangssignals kann ein
stabiler oder ein instabiler Regelkreis entstehen.
Regelkreise innerhalb elektronischer Schaltun-
sich die Eingangsspannung des Regelverstar- gen untersucht man normalerweise nicht rege-
kers um dw^ andern: lungstechnisch. Wenn jedoch ein Schaltungsteil
At/g = Aw/i; = A//t; S . schwingt oder nach einer Storung nur sehr
langsam einschwingt, sollte man Verstarker und
Die Spannungsanderung Aw^ ist hierbei gleich Verzogerungselemente untersuchen. Haufig ge-
der Anderung der Ausgangsspannung und da- nugt eine qualitative Aussage, um die Ursache
mit die verbleibende Abweichung, nachdem die zu erkennen und zu beseitigen. In Sonderfallen,
Ubergangsvorgange abgeschlossen sind: bei denen trotz Verwendung langsamer Glieder
1 im Regelkreis eine moglichst hohe Grenz-
Aw, = A7 frequenz angestrebt wird, ist der Regelkreis zu
analysieren und zu optimieren. Probieren fiihrt
Dies entspricht dem Innenwiderstand R^ = in diesen Fallen nicht zum Ziel.
404 11 Grundlagen der digitalen Schaltungstechnik

11 Grundlagen der digitalen Nach Gl. (11-1) ergibt sich die Basis damit zu 2.
Davon ausgehend reicht dies vollkommen aus,
Schaltungstechnik um ein Zahlensystem aufzubauen, das in seinem
Leistungsumfang dem bekannten Dezimal-
system in nichts nachsteht. Somit gilt:

Im binaren Zahlensystem ist die Basis stets 2.


Das Argument einer jeden Stelle kann den
11.1 Zahlensysteme Wert „0" Oder „1" einnehmen.
Der Umgang mit Zahlen im taglichen Leben
beschrankt sich in der Kegel auf das dezimale Tabelle 11-1 zeigt eine Obersicht liber die ge-
Zahlensystem, wie es aus der Schulzeit bekannt brauchlichsten Zahlensysteme, die auf eine bi-
ist. Trotz der enormen Leistungsfahigkeit des nare Darstellung zuriickgefuhrt werden, im
Dezimalsystems gibt es in der digitalen Schal- Vergleich zum Dezimalsystem. Dabei ist die
tungstechnik Problemstellungen, die nur durch Wertigkeit der ersten vier Stellen (7^ bis 7^) der
den Einsatz hindrer Zahlensysteme sinnvoll ge- einzelnen Zahlensysteme sowie die allgemeine
lost werden konnen. Die Bildung der Zahlen- Darstellungsform aufgetragen. Die letzte Spalte
systeme unterliegt einer Systematik gemaB fol- gibt die Summenschreibweise der einzelnen
gender Darstellung: Zahlensysteme wieder.
Speziell im Dualsystem (Abschn. 11.1.1) nennt
(11-1) man das Argument X auch ,,Bit'\ ein Kurz-
i \Q<X<Y) wort, das aus dem enghschen binary digit (bi-
nare Einheit) hergeleitet wird.
X ist dabei das Argument und kann sich nur im Setzt man in die Gl. (11-2) die Basis der einzel-
Bereich der Exponentenbasis bewegen. Es ist nen Zahlensysteme ein, so erhalt man eine ein-
stets kleiner als 7(0 < X < Y). Y gibt die Basis fache Umrechung in das Dezimalsystem. Zur
und damit das Zahlensystem an, in dem man VeranschauHchung soil beispielsweise die dezi-
sich befindet. Im gewohnten Dezimalsystem ist male Zahl ZD = 269,3D und die binare Zahl Zg
die Basis 7 = 10. Das Argument X kann dem- = 0 1 0 1, OB nach Gl. (11-2) in ihre Argumente
nach die Werte 0 bis 9 annehmen. Nach Gl. mit entsprechender Wertigkeit aufgelost wer-
(11-1) laBt sich jedes Zahlensystem zu einer den:
ganzzahligen Basis definieren. ZD = 269,3D
Lost man die Summenformel nach Gl. (11-1)
auf, so ergibt sich fiir die einfache Umrechnung ZD = . . . 0 • 10' + 2 • 10^ + 6 • 10^ + 9 • 10^
in das gewohnte dezimale Zahlensystem + 3 1 0 - ' + 0 1 0 - ^ + ...
ZD = . . . 0 + 200 + 60 + 9 + 0,3 = 269,3^.
Z = ...X37^+X2 7 ^ + X i 7 ^ Fiir Dualzahlen gilt entsprechend
+ Xo7^ + X _ i 7 - ^ . . . (11-2)
ZB = 01 01,0B
ZB = . . . 0 - 2 ' + 1 - 2 ^ + 0 - 2 ^ + 1 - 2 ^
Argumente, die einen negativen Exponenten
+ 0-2-^ + ...
haben (in Gl. (11-2) beispielsweise X_^ 7~^..),
ZB = . . . 0 + 4 + 0 + 1 + 0 = 5D.
ergeben in jedem Zahlensystem die Nach-
kommazahlen. Der Umgang mit den so entstan- Alle weiteren, nicht aufgefiihrten Stellen haben
denen Gleitkommazahlen und die Handhabung stets das Argument „0", so da6 diese Stellen
im bindren Zahlensystem wird in Abschn. keinen Beitrag zum Zahlenwert leisten.
11.1.4.2 ausgefiihrt. Das bindre Zahlensystem ist der Oberbegriff fiir
In der Digitaltechnik kennt man nur die beiden alle Zahlensysteme, die schlieBlich auf eine 0/1-
Zustande ,,wahr'' und ,,mcht wahr" (Abschn. Darstellung zuriickgreifen, oder aus einer sol-
11.1.2). Fiir das Argument X bleiben somit nur chen erwachsen sind (siehe hierzu das Oktal-
die beiden Zahlen werte 0 und 1, weshalb man system und das Hexadezimalsystem in Abschn.
hier von einem binaren Zahlensystem spricht. 11.1.2).
11.1 Zahlensysteme 405

Tabelle 11-1. IJbersicht liber die Zahlensysteme.

Summen-
Wertigkeit der Argumente X|nachGleichung(11-2)
gleichung
allgemeine y3 y2 r yO nxx
Darstellung \
Zahlensysteme:
Dezimalzahl 10=^ 10^ 10^ 10° SXilO'
Wert Wert Wert Wert Wert i
dezimal 1000 100 10 1
Dualzahl 23 22 2' 20
EXi2'
Wert Wert Wert Wert Wert
i
dual dezimal 1000B 8 100B 4 10B 2 1B 1
Oktalzahl 8^ 82 8^ 8°
Wert Wert Wert Wert Wert
i
oktal dezimal lOOOo 512 100o 64 10o 8 1o 1
Hexadezimalzahl 16^ 16^ 16^ 16°
E Xi16'
Wert Wert Wert Wert Wert
i
hex. dezimal IOOOH 4096 IOOH 256 IOH 16 1H 1
furalleZah len gilt: X, = 1

11.1.1 Duales Zahlensystem Aus Tabelle 11-2 geht auch hervor, daB das Bit
mit der hochsten Wertigkeit stets in der linken
Das duale Zahlenssystem ist das einfachste Zah- Spalte eingetragen wird. Dieses Bit wird als
lensystem, das sich realisieren laBt. Es wird zur Most Significant Bit (MSB) bezeichnet. Dage-
Basis 2 gerechnet, so daB nach Gl. (11-1) das gen befindet sich das niederwertigste Bit (DO)
Argument die Werte 0 und 1 annehmen kann. in der am auBersten rechten Rand liegenden
(Da die Argumente stets kleiner als die Basis
Spalte, Es wird als Least Significant Bit (LSB)
sein miissen, bleiben fiir das Dualsystem ledig-
bezeichnet.
Hch die Zahlen 0 und 1 iibrig. Wiirde man ein
Zahlensystem mit der Basis 1 wahlen, konnte Die groBtmogliche Zahl Z^^^, die bei einer be-
das Argument nur noch den Wert 0 annehmen, kannten Anzahl k von Argumenten aufzeigbar
womit sich kein Zahlensystem mehr aufbauen ist, laBt sich durch folgende einfache Gleichung
laBt). Durch das Einsetzen der Basis 2 in Gl. berechnen:
(11-1) erhalt man fur das Dualsystem
7 =2" -1. (11-4)
1 isN \
0<A'<2 (11-3)
i GroBe Dualzahlen werden oft in Felder von 8,
\also X e [0,1]/ 16 Oder 32 Bit zusammengefaBt. Man spricht
dann von einem Byte, Word oder Double Word
Im dualen Zahlensystem findet eine Aufteilung (Long Word). Dabei gilt:
der Zahlenreihen, wie sie beispielsweise im He-
xadezimalsystem durchgefuhrt wird, nicht statt. 1 Byte ist die Zusammenfassung von 8 Bit.
Die Zahlenkolonnen unterliegen somit keinen
Grenzen. Eine acht Bit breite Dualzahl hat bei- 1 Word ist die Zusammenfassung von 16 Bit.
spielsweise die Argumente DO bis D7, mit de- 1 Word besteht aus 2 Byte.
nen ein dezimaler Zahlenumfang von 0 bis 255 1 Double Word ist die Zusammenfassung
dargestellt werden kann. Die Wertigkeit der Ar- von 32 Bit.
gumente ergibt sich aus ihrer Stelle, wie Tabelle 1 Double Word besteht aus 4 Byte.
11-2 verdeutlicht.
406 11 Grundlagen der digitalen Schaltungstechnik

Dualzahlen haben eine groBe Bedeutung fur die 11.1.2 Hexadezimales Zahlensystem
Adressierung von Speicherzellen, in denen sie
heute schon bis zu einer Breite von 32 Bit einge- Die Darstellung von groBen Dezimalzahlen im
setzt werden. Dies bedeutet einen dezimalen dualen Zahlensystem ist uniibersichtUch und
Zahlenumfang von 0 bis 2^^ —1 oder rund 4,3 fehlertrachtig. Deshalb hat man einzelne Bits
Milliarden (bei der Adressierung spricht man zusammengefaBt und auf der Basis des Darstel-
auch von 4 Giga-Byte-Adrefiraum (Abschn. lungsbereiches dieser Bitgruppen ein neues
12.3)). Ein weiteres Einsatzfeld fur duale Zah- Zahlensystem aufgebaut.
len sind digitalej analoge Schnittstellen (Ab- Auf diese Weise entstand das oktale Zahlen-
schn. 10). system, das 3 Bit zusammenfaBt und somit zur
Beispielsweise finden heute in den CD-Spielern Basis 8 (2^) gerechnet wird.
D/A-Wandler mit einer Breite von bis zu 20 Bit
Verwendung, entsprechend einem Zahlenum- 1 ieN \
fang von 1 048 576. Auch bei Steuerungen, wie 0<X<S (11-5)
sie in Werkzeugmaschinen vorkommen, werden i
lalso Z e [ 0 , 7 ] /
die Einstellwerte als Dualzahlen behandelt.

Tabelle 11-2. Zahlenbereich einer 8-Bit-Dualzahl.


Most Least
Signifi- Signifi-
cant Bit cant Bit
4 LSB
MSB ^
D7 D6 D5 D4 D3 D2 D^ DO Argument
26 25 24 23 20
L ^' 22 2' Wertigkeit
Dezimalwert
0 0 0 0 0 0 0 0 0
0 0 0 0 0 0 0 1 1
0 0 0 0 0 0 1 0 2
0 0 0 0 0 0 1 1 3
0 0 0 0 0 1 0 0 4
0 0 0 0 0 1 0 1 5
0 0 0 0 0 1 0 6
0 0 0 0 0 1 1 7

0 1 1 1 1 1 1 127
0 0 0 0 0 0 0 128
0 0 0 0 0 0 1 129

1 1 1 1 1 0 1 253
1 1 1 1 1 1 0 254
1 1 1 1 1 1 1 255
11.1 Zahlensysteme 407

Die Zusammenfassung von 3 Bit ermoglicht die Da die Dezimalschreibweise einer Ziffer nur
Darstellung der Zahlen 0 bis 7, also acht Zu- von 0 bis 9 reicht, werden die Zahlen 10 bis 15
stdnde (oktal). Fiir die dezimalen Zahlen 0 bis durch die Buchstaben A bis F dargestellt, um so
9 ist im oktalen Zahlensystem bereits eine zwei- eine einstellige Zahl zu gewahrleisten. Tabelle
stellige Zahl notwendig, wie Tabelle 11-3 zeigt. 11-4 zeigt das Halbbyte einer vierstelligen Dual-
Doch diese Gruppen von 3 Bit erwiesen sich als zahl (D0-D3) sowie die 16 moglichen Werte
schlechte Teiler, wenn es sich um 8, 16 oder des Argumentes X der Hexadezimalzahl nach
32 Bit, wie bei Mikroprozessoren, handelt. Aus Gl. (11-6).
diesem Grund soil nicht weiter auf das Oktal- Auf diese Weise lassen sich beispielsweise 16 Bit
system eingegangen werden. breite Dualzahlen durch eine vierstellige Hexa-
Als sinnvolle Teilung zeigte sich die Zusammen- dezimalzahl darstellen {16 Bit = 4 Nibble bzw.
fassung von 4 Bit des Dualsystems. Durch die 4 Halbbytes).
Darstellungsmoglichkeit von nunmehr 16 Zu- Wie beim Dezimalsystem erfolgt auch hier beim
standen (2"^ = 16), spricht man hier vom Hexa- Uberschreiten des Darstellungsbereiches ein
dezimalsystem (10 Ziffern von 0 bis 9 und Ubertrag auf die nachst hohere Basisgruppe.
6 Buckstaben von A bis F), das in der Rechner- Allerdings ist dies bei den Hexadezimalzahlen
technik sowie bei der Programmierung nicht erst bei FH, also IS^ der Fall. Die Zahl 16 stellt
mehr wegzudenken ist. Die Basis dieses Zahlen- sich somit zu IOH dar. Hier wird bereits ein
systems ist 16. Es gilt Problem deutlich, das sich beim Umgang mit
verschiedenen Zahlensystemen stellt. Eine Zahl
1 ieN \ ist durch ihre Ziffernfolge alleine nicht eindeu-
z = j;^x,i6\ 0<X<16 (11-6) tig beschrieben. Beispielsweise kann die Zif-
I
\also Xe[0,15]/ fernfolge 10 in den verschiedenen Zahlensyste-
men folgende Dezimalwerte besitzen:
Bei der Zusammenfassung von 4 Bit spricht Dezimalsystem: 10^ = 1 Op
man auch von einem Halbbyte oder Nibble. Oktalsystem: 10o= S^
Dieses Nibble kann gerade diese 16 Zahlen Hexadezimalsystem: 10^ = 1 6D
(von 0 bis 15D) darstellen. Dualsystem: lOg = 2j^.
Unter Halbbyte oder Nibble versteht man Bei Verwendung verschiedener Zahlensysteme
die Zusammenfassung von 4 Bit. Damit ist deshalb eine Kennzeichnung der Zahlen
konnen 16 Zustande dargestellt werden. unerlaBlich. Dies kann durch einen Index ge-
schehen (wie in diesem Buch) oder durch An-

Tabelle 11-3. Darstellung der dezimalen Zahlen 0 bis 9 im oktalen Zahlensystem.


duale Darstellung Oktalzahl

D5 DA D3 D2 D1 DO 01 oo Argument

2^ 24 23 2^ 2' 20 8^ 8° Wertigkeit

Dezimalzahl
0 0 0 0 0 0 0 0 0
0 0 0 0 0 1 0 1 1
0 0 0 0 1 0 0 2 2
0 0 0 0 1 1 0 3 3
0 0 0 1 0 0 0 4 4
0 0 0 1 0 1 0 5 5
0 0 0 1 1 0 0 6 6
0 0 0 1 1 1 0 7 7
0 0 1 0 0 0 1 0 8
0 0 1 0 0 1 1 1 9
408 11 Grundlagen der digitalen Schaltungstechnik

Tabellell-4 . Darstellung des Wertebereiches des Argumentes einer Hexadezimalzahl.


duale Darstellung Hexadezimalzahl
1 D3 D2 D1 DO HO Argument
2^ 2' 2' 2° 16° Wertigkeit
Dezimalzahl
0 0 0 0 0 0
0 0 0 1 1 1
0 0 1 0 2 2
0 0 1 1 3 3
0 1 0 0 4 4
0 1 0 1 5 5
0 1 1 0 6 6
0 1 1 1 7 7 i
0 0 0 8 8
0 0 1 9 9
0 1 0 A 10
0 1 1 B 11
1 0 0 C 12
1 0 1 D 13
1 1 0 E 14
1 1 1 F 15
r 4Bit = Halbbyte

hangen des betreffenden Buchstabens (z.B. Beispiel


07F2 H). In den meisten Programmiersprachen 11.1-2:
werden hexadezimale Zahlen durch ein vor- dezimale Zahl umgewandelt werden.
angestelltes $-Zeichen gekennzeichnet. Eine
Losung:
Kennzeichnung des Zahlensystems kann auch
durch die Angabe des dezimalen Basiswertes Man sucht die passenden Teller zur Basis 16 (16^, 16^,
erfolgen (z.B. IOH = lOi^). Bei der Kennzeich- 16S 16^) und bestimmt die Teilmengen mit der dezi-
malen Zahl (mit dem groBten Teiler beginnend). Dies
nung ist Sorgfalt geboten, da dies eine der hau- laBt sich schrittweise nach Tabelle 11-5 durchfiihren.
figsten Fehlerquellen ist.
Rechnen mit hexadezimalen Zahlen
Beispiel Die Rechenoperationen mit Hexadezimalzah-
11.1-1: Es soil die hexadezimale Zahl 1 0 5 C, 4^ in len unterHegen denselben Regeln, die von den
eine dezimale Zahl umgerechnet werden. Dezimalzahlen her bekannt sind. Auch hier
wird bei Uberschreiten des Darstellungsberei-
Losung: ches ein Ubertrag zur nachst hoheren Stelle
notwendig:
Durch die Anwendung von Gl. (11-6), die wie Gl.
(11-2) aufgelost wurde, erhalt man Erreicht ein Argument den Basiswert, so er-
105C, 4H = 1^3 • 16^ + X2 • 16' -h X, • 16^ folgt ein Ubertrag auf die nachst hohere
+ Xo-16^ + X_^-16-\ Stelle.
105C, 4H = 1 • 4096 -h 0 • 256 + 5 • 16 -h 12 • 1
+ 4 • 0.0625, Bei dezimalen Zahlen geschieht dieser Ubertag
bei 9, bei Hexadezimalzahlen erfolgt dieser ent-
sprechend bei i ^ ( = 1 5 D ) . An den drei Grund-
Die Uberfiihrung einer Dezimalzahl in eine Hexa- rechenarten, Addition, Subtraktion und Multi-
dezimalzahl erfordert etwas mehr Aufwand. phkation laBt sich das leicht veranschaulichen:
11.1 Zahlensysteme 409

Tabelle 11-5. U m w a n d l u n g einer Dezimalzahl i n eine Hexadezimalzahl.

Rest
Schritt Teller Ergebnis Bemerkung
(dezimal)

1 2045 163 OH 16^ (4096) 1st keineTellmenge von 2045

2 2045 16^ 7H 162(256)geht7malin2045

3 253 16^ FH 16geht15mal(=FH)ln253

4 13 - ^H DH entsprlcht dem dezlmalen Rest 13


k 07FDH
2045D
- ""* f

Addition: 2Ap| Multiplikation: A 1 2 „ • 4 „


+ 18K
2 8 4 8H
=42,

Auch fur die Subtraktion gelten dieselben Re-


geln wie im Dezimalsystem: 10,
00,
Subtraktion: 32^ 00,
-1C„
1000,
= 16„
MultipHkation und Division lassen sich jedoch
In Rechnersystemen wird oft eine Variante der einfacher durch Hexadezimal-Rechner oder
Subtraktion eingesetzt. Dazu bildet man das Multiplikations- Tabellen durchfuhren.
Zweierkomplement der abzuziehenden Zahl und
addiert es zum Subtrahenden. (Das Zweierkom-
11.1.3 BCD-Zahlensystem
plement ist im Abschn. 11.1.4 ausfuhrlich be-
schrieben.) Zunachst erscheint dies kompliziert, Als Alternative zum Hexadezimalsystem, das
vorteilhaft jedoch ist, daB der Rechner nun die sehr ungewohnt ist, hat man sich ein Zahlen-
eigentliche Subtraktion wie eine Addition aus- system uberlegt, das dem uns vertrauten Dezi-
fuhren kann. malsystem sehr nahe kommt, dennoch aber auf
einer binaren Basis beruht: das Bindr Codierte
Subtraktion (Variante):
Dezimalsystem (BCD-System).
32, 32,,
Die Grundlage fur das BCD-System ist das
duale Zahlensystem. Es werden, wie beim hexa-
1 16„
^H = 16.
H dezimalen Zahlensystem, vier Bit (also ein Nib-
ble) zu einer Stelle zusammengefaBt. Der Uber-
Ubertrag wird nicht beriicksichtigt.
trag erfolgt bei 9 (wie beim Dezimalsystem).
Multiplikation und Division lassen sich ebenfalls Tabelle 11-6 zeigt die Zahlen 0 bis 9 sowie der
nach bekannter Weise durchfuhren, werden Ubertrag auf die Zahl 10.
aber selten gebraucht. Dennoch sei hier der Weil der Ubertrag bei 9 erfolgt, werden nicht
Vollstandigkeit wegen ein Beispiel zur Multipli- alle moghchen Kombinationen ausgenutzt, die
kation aufgefiihrt. sich mit vier Bit darstellen lassen. (Ubertrag im
Wie beim Dezimalsystem, werden auch beim Hexadezimalsystem bei IS^.) Der Vorteil in der
Hexadezimalsystem bei der Multiplikation die BCD-Darstellung liegt jedoch in der gleichen
Stellen des Multiplikanten einzeln mit dem Darstellung wie im Dezimalsystem: FaBt man
Multiplikator multipliziert, wobei ein eventuell die 4 Bit {Nibble oder Halbbyte) in obiger Weise
entstehender Ubertrag der vorigen Zahl natiir- zusammen, besitzt jede Stelle dieselbe Wertig-
lich beriicksichtigt werden muB. Der Ubertrag keit wie im Dezimalsystem. Aus diesem Grund
erfolgt im Hexadezimalsystem bei der Zahl lassen sich auch die Rechenoperationen wie ge-
n'16(n = l,2,...). wohnt durchfuhren.
410 11 Grundlagen der digitalen Schaltungstechnik

Tabellell-6. Das BCD-Zahlensys tern. weiteres Bit als Vorzeichenbit. Es besitzt den
Wert „0", wenn es sich um eine positive Zahl
BCD-Zahlensystem handelt, bei einer negativen Zahl den Wert „!"•
dezimaler Die einfachste Art, eine negative Zahl darzu-
D3 D2 D1 DO stellen, ist die Vorzeichen-Betrags-Darstellung
Wert
(VBD):
0 0 0 0 0
0 0 0 1 1 Bei der Vorzeichen - Betrags - Darstellung
0 0 1 0 2 (VBD) wird durch ein zusatzHches Bit das
0 0 1 1 3 Vorzeichen dargestellt. Dieses Vorzeichenbit
0 1 0 0 4 ist 0 bei einer positiven Zahl und 1 bei einer
0 1 0 1 5 negativen.
0 1 1 0 6
0 1 1 1 7 Zur Veranschaulichung sei die dezimale Zahl 11
1 0 0 0 8 im Dualsystem sowohl positiv als auch negativ
1 0 0 1 9 in der Vorzeichen-Betrags-Darstellung darge-
stellt:
0 0 0 0
Ak
¥T I \ Betrag
jyauiui^
01011B = 11D

BCD-Zahl, dual dargestellt: •—Vorzeichenbit „0", d.h. positive Zahl


11011B = -11D
1000 0110 0001
1. 2. 3. Nibble •—Vorzeichenbit „1", d.h. negative Zahl.
I Es wird deutlich, daB sich die negative Zahl von
BCD-Zahl:
der positiven Dualzahl 27D nicht unterscheidet.
Die Kennzeichnung einer BCD-Zahl erfolgt Bei der Verwendung eines Vorzeichenbits muB
ebenfalls mit einem „Z)'' fur dezimal, da sie sich deshalb der Entwickler fur Eindeutigkeit sor-
in dieser Darstellung nicht von einer Dezimal- gen.
zahl unterscheiden laBt. Allerdings muB auf der Fiir die Verarbeitung in ProzeBsteuerungen
dualen Zahlenebene die BCD-Kodierung eindeu- oder in Signalverarbeitungs-Rechnern hat sich
tig gekennzeichnet werden. obige Darstellung von negativen Zahlen als un-
Durch den eingeschrdnkten Darstellungsbereich geeignet erwiesen. In diesen Fallen wird die
BCD-kodierter Zahlen hat das BCD-Zahlen- Zahl als Zweierkomplement (ZK) dargestellt.
system in der Mikrorechnerprogrammierung Die Bedeutung des Vorzeichenbits bleibt dabei
praktisch keine Bedeutung. Doch iiberall dort, erhalten: positive Zahlen werden mit einer fuh-
wo die Schnitts telle Mens ch j Mas chine besteht, renden „0" gekennzeichnet, negative Zahlen
dient es zur Umsetzung in die uns vertraute mit ,,1". Die nachfolgenden Bits bilden bei den
dezimale Darstellung: Beispielsweise bei der negativen Zahlen jedoch das Zweierkomple-
Anzeige von Rechenergebnissen auf dem Ta- ment zur positiven Zahl. Unter dem Zweier-
schenrechner oder bei der Eingabe von Zahlen. komplement versteht man die Ergdnzung der
Ein sehr wichtiger Einsatzbereich sind Zahler positiven Zahl auf die Basis des Zahlensystems.
jeglicher Art, ob Stiickgutzahler oder Fre- So gilt fur das Zweierkomplement des Hexa-
quenzzahler. In alien Anwendungsfallen geht es dezimalsystems:
darum, digital aufbereitete Informationen dem
Benutzer in dezimaler Form anzuzeigen. Das Zweierkomplement (ZK) einer Hexa-
dezimalzahl ist die Erganzung auf die Ba-
11.1.4 Erweiterungen sis 16. (11-7)
des binaren Zahlensystems
Der VoUstandigkeit wegen wird auch der Be-
II.1.4.1 Negative Zahlen
griff des Einerkomplements erklart. Es stellt die
Fiir die Darstellung negativer Zahlen dient ein Differenz der bestehenden Zahl zur maximal
11.1 Zahlensysteme 411

darstellbaren Zahl dar und wird durch eine ein- bei den positiven Zahlen und im Zweierkom-
fache Inversion in der binaren Schreibweise ge- plement gleich ist. Aus diesem Grund ist es
wonnen. moghch, die negative Zahl — 32^ mit nur funf
Bits darzustellen.
Das Einerkomplement (EK) einer Hexa-
dezimalzahl ist die Erganzung zur hochsten 11.1.4.2 Festkomma- und Gleitkommazahlen
Zahl 15. Es ergibt sich aus dem Inversen der
Dualzahl. In den obigen Ausfuhrungen wurde stets von
der Festkomma-Darstellung einer Zahl ausge-
Um den Umgang mit den Komplementzahlen gangen, bestehend aus einer bestimmten An-
zu veranschaulichen, soil das Zweierkomple- zahl von Vorkommastellen und Nachkomma-
ment zur hexadezimalen Zahl 9H gesucht wer- stellen. Im allgemeinen arbeitet man im hexade-
den: zimalen und binaren Zahlensystem ohne Nach-
kommastellen. Dies hat den Nachteil, daB der
1 0 0 1 B = 9H. Zahlenbereich recht begrenzt ist, aber fur Steu-
Das Zweierkomplement zur Zahl 9H errechnet erungszwecke ausreicht. Auf dem Zahlenstrahl
sich aus der Erganzung zur Basis 16. Dies ergibt in Bild 11-1 ist im oberen Teil der Bereich von
eine Differenz von ?„: dualen Zahlen in Abhangigkeit ihrer Breite auf-
getragen. Eine 16-Bit-Zahl erreicht demnach
ZK: 0 1 1 1 B = 7H. ihren maximalen Wert bei 2^^ —1, also bei
Zur Probe kann man die Zahl und deren Zwei- 65 535.
erkomplement addieren; es muB sich die Zahl
null sowie ein Ubertrag ergeben, wie folgende
16-Bit-Zahl
Rechnung zeigt:
_14
1001B
01 1 1B _N
§10
Q 8-Bit-Zahl
1 0 0 0 0« 10„
%^
— Ubertrag 0) 6 4-Bit-Zahl

Da das Argument der Hexadezimalzahl nur I 4


00
vier Bit breit ist, kann der Ubertrag durch diese 2
22 2^ 2'° 2^ 2""° 2^"^ 2""^ 2""®
einstellige Zahl nicht mehr dargestellt werden, 28 2^6 2^4 2^ 2^ 2^ 2^ 2^
so daB das Ergebnis dieser Addition null ist.
Die Bildung des Zweierkomplements wird rech- - 2
nertechnisch aus dem Inversen der positiven
Zahl gebildet, zu dem noch „1" addiert wird. Es g 6
wird also das Einerkomplement um 1 erhoht: 1 8
!lO
1 0 0 1B Ausgangszahl
|12
0 1 1 OB Inverse zur positiven Zahl •5 14
(Einerkomplement)
*16
1R Addition von 1 Bild 11-1. Darstellungsbereich von Dualzahlen und
0 1 1 1B ZK der positiven Zahl. Gleitkommazahlen.

Die Verwendung von Zahlen im Zweierkomple-


ment wird stets in Verbindung mit einem Vor- Eine wesentliche Erweiterung des Zahlenberei-
zeichenbit vorgenommen, das die Zweierkom- ches bringt das Hinzufugen eines Exponenten.
plement-Darstellung eindeutig kennzeichnet. Man spricht dann auch von einer Gleitkomma-
Tabelle 11-7 gibt die Zahlen einer 5 Bit breiten zahl, da durch den Exponenten keine festen
Zahl und ihr Zweierkomplement wieder. Durch Nachkomma- oder Vorkommastellen mehr defi-
das Vorzeichenbit miissen 6 Bit vorhanden sein. niert sind. Der Aufbau einer binaren Gleitkom-
Es fallt auf, daB die Darstellung der Zahl null mazahl ist dem einer Dezimalzahl gleich:
412 11 Grundlagen der digitalen Schaltungstechnik

f Exponentenbreite in Bit ein-


XXXX XXXX XXXX XXXX^y^YY schlieSlich des Vorzeichenbits
5 Bit
LLU-L breiter
Exponent
- 16 Bit
breite (11-8)
Mantisse

In der Kegel wird eine andere Schreibweise be-


nutzt:
_225 _2^^ -2^"^ 2"^^ 2^^ 2^^
XXXX XXXX XXXX E YYYYY (11-9) negativer Zahlenbereich positiver Zahlenbereich
'— E steht fur Exponent Bild 11-2. Gleitkommazahlen mit einer Mantissen-
breite von 10 Bit einschliefilich Vorzeichenbit.
Der Exponent ist dabei die Hochzahl, die angibt,
wie oft die Basis mit sich selbst multipliziert Bewegt sich die Zahl im Wertebereich der Man-
werden muB (z. B. ist 2^ = 2 • 2 • 2). Die Man- tisse, ist es immer moglich, den Exponenten
tisse entspricht dem Argument der Zahlensy- null werden zu lassen. Wie beim Dezimalsystem
steme. Da es sich jedoch um eine Gleitkomma- beeinfluBt die Kommastelle den Exponenten,
zahl handelt, ist der Darstellungsbereich der wie das folgende Beispiel zeigt.
Mantisse nicht nur auf die ganzen Zahlen be-
schrankt, sondern deckt den gesamten reellen Beispiel
Zahlenbereich innerhalb des benutzten Zahlen- 11.1-3: Der BQghH Gleitkommazahl soil an einer dezi-
systems ab. Dabei kann die Mantisse auch ein malen Zahl und an einer binaren Zahl veranschau-
Vielfaches der Basis annehmen, wie Beispiel licht werden. Dazu soil der Wert der Zahlen konstant
11.1-3 zeigt. bleiben, der Exponent sich aber in Abhangigkeit der
Kommastelle andern.
Bild 11-1 unten zeigt den Zahlenbereich der
Gleitkommazahlen in Abhangigkeit von ihrer Losung:
Mantissenbreite und der Breite des Exponen- Am deutlichsten kann das bei den dezimalen Zahlen
ten. Wird der Exponent gleich null gesetzt, so gezeigt werden:
entspricht diese Gerade genau dem Zahlenbe-
108D = 108 • 10°o = 10,8 • lO^D = 1,08 • 10^
reich der Festkommazahlen. Dies wird in der
= 0,108-10^ (11-10)
oberen Bildhalfte dargestellt.
Gleitkommazahlen konnen im Zweierkomple- DaB dies auch fiir das binare Zahlensystem gilt, zeigt
ment dargestellt werden. Dies erweitert den die Dualzahl
Zahlenbereich nochmals erheblich, es muB je- 0110 1100 • 2°^% = 0110 110,0 • 2^^^B
doch, wie bereits erwahnt, das fuhrende Bit als = 0110 11,00 ^^^^B- (11-11)
Vorzeichenbit eingesetzt werden. Die Basis des
Aus diesem Beispiel wird der Begriff Gleitkommazahl
Exponenten ist 2. So kann der Exponent im deutlich. Beide Zahlen stellen die Zahl 108D dar.
obigen Beispiel als groBte positive Zahl Riickt man bei der binaren Darstellung das Komma
0 1 1 1 1B (d.h. 15D) einnehmen. Die fuhrende 0 hinter das Vorzeichenbit, so erhalt man die normali-
gibt an, daB es sich um eine positive Zahl sierte Darstellung der Gleitkommazahl.
handelt. Zur Basis 2 gerechnet ergibt sich ein
maximaler Multiplikator von 32 767 (=2^^ — 1). Normalisierung von binaren Gleitkommazahlen
Die groBte negative Zahl erhalt man aus dem Die Mantisse einer normahsierten Gleitkom-
Zweierkomplement zu 1 0 0 0 Og (d.h. — 16D). mazahl im Zweierkomplement besteht aus ei-
Die Mantisse wird dann mit — 65 536 (= — 2^^) nem Vorkommabit, das zugleich das Vorzei-
gewichtet. Der kleinste Exponent kann natur- chenbit ist, und einer bestimmten Anzahl von
lich 0 sein, wodurch die Zahl stets den Wert der Nachkommabits, die von der Mantissenbreite
Mantisse annimmt. In Bild 11-2 sind die Werte- abhangen. Diese Form der Darstellung verla-
bereiche einer 10 Bit breiten Mantisse in Ab- gert den ,,Grobwert" der Zahl in den Exponen-
hangigkeit des Exponenten dargestellt. Der Ex- ten und den ,,Feinwert" in die Mantisse. Die
ponent nimmt dabei die Werte 3, 4 und 5 ein, Mantisse einer normahsierten Zahl stellt somit
einschlieBlich des Vorzeichenbits. keine Vielfachen der Exponentenbasis mehr
11.1 Zahlensysteme 413

Tabelle 11-7. Negative Dualzahlen ] n der Zweierkomplement-Darstellung.

negative Dualzahlen
positive Dualzahlen
in Zweierkomplement-Darstellung

D5 D4 D3 D2 D^ DO Db DA D3 D2 D1 DO
Dezimal- Dezimal-
zahl 24 23 20 zahl 24 23
VZ 2^ 2' VZ 2^ 2' 2°
0 0 0 0 0 0 0 0 0 0 0 0 0
1 0 0 0 0 0 1 - 1 1 1 1
2 0 0 0 0 1 0 - 2 1 1 0
3 0 0 0 0 1 1 - 3 1 0 1
4 0 0 0 1 0 0 - 4 1 0 0
5 0 0 0 1 0 1 - 5 0 1 1
6 0 0 0 1 1 0 - 6 0 1 0
7 0 0 0 1 1 1 - 7 0 0 1
8 0 0 0 0 0 - 8 0 0 0
9 0 0 0 0 1 - 9 0 1 1 1
10 0 0 0 1 0 -10 0 1 1 0
11 0 0 0 1 1 -11 0 1 0 1
12 0 0 1 0 0 -12 0 1 0 0
13 0 0 1 0 1 -13 0 0 1 1
14 0 0 1 1 0 -14 0 0 1 0
15 0 0 1 1 1 -15 0 0 0 1
16 0 0 0 0 0 -16 0 0 0 0
17 0 0 0 0 1 -17 0 1 1 1
18 0 0 0 1 0 -18 0 1 1 0
19 0 0 0 1 1 -19 0 1 0 1
20 0 0 1 0 0 -20 0 1 0 0
21 0 0 1 0 1 -21 0 0 1 1
22 0 0 1 1 0 -22 0 0 1 0
23 0 0 1 1 1 -23 0 0 0 1
24 0 0 0 0 -24 0 0 0 0
25 0 0 0 1 -25 0 0 1 1 1
26 0 0 1 0 -26 0 0 1 1 0
27 0 0 1 1 -27 0 0 1 0 1
28 0 1 0 0 -28 0 0 1 0 0
29 0 1 0 1 -29 0 0 0 1 1
30 0 1 1 0 -30 0 0 0 1 0
31 0 1 1 1 -31 0 0 0 0 1
-32 0 0 0 0 0

VZ = Vorzeichen

dar. Aufgrund des einzigen Vorkommabits, das tive Zahl der Mantisse erhalt man durch das
zudem noch das Vorzeichen reprasentiert, kann Zweierkomplement
die Mantisse in dieser Darstellung nie groBer
als 1 werden. Am Beispiel einer 16 Bit breiten 1,000 0000 0000 OOOOB
Mantisse kann diese den folgenden hochsten und hat den dezimalen Wert — 1. Die kleinste
positiven Wert einnehmen: Zahl in dieser Darstellung ist
0,1111111 11111111B. 0,000 0000 0000 0001B = 0,0000305D
Dies entspricht 0,9999695D. Die groBte nega- bzw.
414 11 Grundlagen der digitalen Schaltungstechnik

1,111 nil nil 1111B = -O,OOOO305D. 0,111 0101 0001 0011 E 00010
Die groBte darstellbare Zahl wird also vom Ex- = 0,94642334 • 2^
ponenten bestimmt, da die Mantisse naherungs- = 3,658569336;
weise 1 ist. entnormalisiert:
Bei einer normalisierten Mantisse werden die 0,001 1101 0100 0100 E 00100
Nachkommastellen optimal ausgenutzt. Dies = 0,23059082 • 2^
wird bei positiven Zahlen dann erreicht, wenn = 3,689453125.
die erste Nachkommazahl „1" ist. Die Mantisse
erreicht dabei ihre hochste Genauigkeit und be- Bei dieser Entnormalisierung hat die Ausgangs-
wegt sich im Bereich von 0,5 bis 1. Um eine zahl einen Genauigkeitsverlust von 0,030883789.
normalisierte Gleitkommazahl zu erhalten, Das bedeutet: HochstmogHche Genauigkeit er-
wird das Zusammenspiel zwischen Exponent reicht man stets mit normaUsierten Zahlen.
und Kommastelle, wie es bereits in Beispiel Fiir negative Zahlen gilt entsprechend dasselbe:
11.1-3 erlautert wurde, ausgenutzt: Die zu nor- Das erste Nachkommabit muB sich von dem
malisierende Zahl wird so lange an das Komma Vorzeichen (eine Eins) unterscheiden, ist also
herangeschoben, bis die erste Nachkomma- eine „0". Zusammenfassend gilt:
stelle eine 1 ist. In gleicher Weise verringert sich
der Exponent um die Anzahl der geschobenen Eine normaUsierte Mantisse Uegt dann vor,
Stellen. DaB dabei der Wert gleich bleibt, zeigt wenn sich das 1. Nachkommabit vom Vor-
folgender Normalisierungsvorgang: zeichenbit unterscheidet.

0,000 0100 1110 1110 E 00101 = 0,038513184 • 2' Mit den Gleitkommazahlen lassen sich alle reel-
= 1,232421875D; len Zahlen darstellen, also auch sehr kleine.
normalisiert Bild 11-3 zeigt deutUch, daB die Menge der
I- Zahlen kleiner 1 genauso groB ist wie die
0,100 1110 1110 0000 E 00001 = 0,616210938 • 2^ Menge der Zahlen groBer 1. Der Grund dafur
= 1,232421 875D. liegt darin, daB der Exponent ebenso viele posi-
tive wie negative Werte annehmen kann.
Bei der Normalisierung wird der Exponent um
den Betrag verringert, um den die Mantisse Zur Ubung
nach links verschoben wird. Die nachfolgenden U11.1-1: In einem Rechnersystem taucht die Zahl 9
Stellen werden mit „0" aufgefullt. Wird eine auf, ohne weitere Kennzeichnung. Welchem der Zah-
Zahl „entnormaHsiert", bedeutet dies stets lensysteme - hexadezimal, dezimal, oktal - kann sie
einen Verlust an Genauigkeit: angehoren?

Vorzeichen-
bit der
Mantisse = 0

positive IZahlen

-0-1 I I I ^ I I I L J I \ I I I I I
0-64 2-56 2-48 2-40 2-32 2-24 2-I6 2 " 28 2^^ 2^^ 2^2 2^° 2^^ 2 ^ 2 ^

negative IZahlen

Vorzeichen-
bit der
Mantisse = 1

Vorzeichenbit des Exponenten = 1 Vorzeichenbit des Exponenten = 0

Bild 11-3. Zahlenbereich einer Gleitkommazahl.


11.2 Kodes 415

U11.1-2: Die Zahl 10 hat in den verschiedenen Zah- eindeutig, aber nicht reflektierend ist. Es wird
lensystemen unterschiedliche Werte. In welchen Zah- beispielsweise durch zwei voneinander unab-
lensystemen kann sie vorkommen, und welchem dezi- hangige Ausgangselemente (0 und 10) erzeugt
malen Wert entspricht dies? und ergibt 1111.
U 11.1-3: Wandeln Sie die duale Zahl 01001101B in Nicht reflektierende Kodes sind in der Kegel
eine dezimale Zahl um. eng mit ihrem Anwendungsgebiet verknupft.
U 11.1-4: Sie haben stets eine Verkleinerung des Zeichen-
nersystem als hexadezimale Konstante verwendet vorrats zur Folge und werden deshalb zur Opti-
werden. Wie lautet sie? mierung eines bestehenden Zeichemorrats be-
U 11.1-5: Quadrieren Sie die hexadezimale Zahl 14^. nutzt. Zur VerdeutHchung sei angenommen,
Uberpriifen Sie mit Hilfe der dezimalen Werte die daBinBild 11-4 das Kodewort „1 1 1 l " i m Zei-
Rechenoperation. chenvorrat 2 beispielsweise eine Anzeigelampe
U 11.1-6: Warum kann die normahsierte Mantisse steuert. Diese kann nun im Zeichenvorrat 1
einer positiven Gleitkommazahl nicht kleiner als 0,5D durch die Elemente „ 0 " und „10" aktiviert wer-
werden? den.
Die Mehrzahl der Kodes sind jedoch eindeu-
tige, reflektierende Kodes. Die wichtigsten Ver-
11.2 Kodes treter sind in Tabelle 11-8 zusammengestellt.
In Tabelle 11-8 unterscheidet man in redun-
Kodes lassen sich nicht als Zahlen nach Gl. (11-1) dante und nicht redundante Kodes. Bei nicht
beschreiben. Sie haben eine hegrenzte Anzahl redundanten Kodes wird der Darstellungsbe-
von Elementen, die durch Kodierung aus einer reich des zugrunde liegenden Zahlensystems
vorhandenen Zahlenmenge entstehen. Die Ko- maximal ausgenutzt. Bei redundanten Kodes
dierungsregeln legen dabei fest, wie der tJber- gibt es auch Kodeworter, die nicht benutzt sind.
gang von einem Zeichenvorrat zu einem zwei- Mit deren Hilfe lassen sich Fehler, die bei der
ten Zeichenvorrat geschieht (Bild 11-4). Kodebildung oder Kodeiibertragung entstan-
Erfolgt die Zuweisung eines Elements im Zei- den sind, erkennen und sogar korrigieren. Im
chenvorrat 1 einem Element des Zeichenvor- Abschn. 11.2.4 wird auf diese Besonderheit aus-
rats 2, so spricht man von einer eindeutigen oder fiihrlich eingegangen.
reflektierenden Kodierung, da aus dem entstan-
denen Kodewort das Ausgangselement wieder 11.2.1 Gray-Kode
bestimmt werden kann. In Bild 11-4 rot ge- Das duale Zahlensystem, wie es in Abschn.
kennzeichnet ist auch ein Kodewort, das zwar 11.1.1 beschrieben ist, hat einen Nachteil: Beim

^"^ Y l 00 1

(o 1 o i )
^(TTTT)

Zeichenvorrat 1 Kodierungsregein Zeichenvorrat 2

(^eindeutige, reflel<tierende Kodierung Q eindeutige, nicht reflektierende Kodierung

Bild 11-4. Grundprinzip der Kodierung.


416 11 Grundlagen der digitalen Schaltungstechnik

Tabelle 11-8. Ubersicht iiber die wichtigsten Kodes.

nicht redundante Kodes redundante Kodes

Zeichenkodes fehlererkennende fehlerkorrigierende


Zahlenkodes
Kodes Kodes

> ASCII-Kode > Gray-Kode -• 2-aus-5-Kodes -• Hamming-Kode

Fernschreibe- gerade/ungerade
> Kode -• erganzte Kodes

> Morse-Kode

Tabelle 11-9. Ubersicht iiber verschiedene Gray-Kodes.

dezimaler dezimaler
Gray-Kodes
Wert Wert

0 0 0 0 0 0 0 0 0 0 0 0 0 0
1 0 0 0 1 0 0 0 1 0 0 0 1 1
2 0 0 1 1 0 0 1 1 0 0 1 1 2
3 0 0 1 0 0 0 1 0 0 0 1 0 3
4 0 1 1 0 0 1 1 0 0 1 1 0 4
5 0 1 1 1 0 1 1 1 0 1 1 1 5
6 0 1 0 1 0 1 0 1 0 1 0 1 6
7 0 1 0 0 0 1 0 0 0 1 0 0 7
8 1 1 0 0 1 1 0 0 1 1 0 0 8
9 1 1 0 1 1 0 0 0 1 1 0 1 9

10 1 1 1 1 10
11 1 1 1 0 11
12 1 0 1 0 12
13 1 0 1 1 13
14 1 0 0 1 14
15 1 0 0 0 15

nicht zyklischer Gray-Kode zyklischer Gray-Kode zyklischer Gray-Kode


von 0 bis 9 nach Glixon furdieZahlen0bis15

lllllllllllllllllllllllll^^

Bildll-5. Mefilineal im Gray-Kode (Ausschnitt).


11.2 Kodes 417

Ubergang von einer Dualzahl zur nachsten Basis-Kode (Tabelle 11-9, links) ausgehend
konnen sich mehrere Bits andern, wie folgendes grundsatzlich zykHsch.
Beispiel beim Ubergang von 7 auf 8 zeigt: Der Gray-Kode wird hauptsachlich in Steue-
rungen verwendet, wenn beispielsweise Stellun-
gen von Werkzeugschlitten oder Drehteilen
7: O i l 1 festzuhalten sind. Bild 11-5 zeigt ein Kode-
Wechsel von 4 Bits. Hneal, wie es bei der Positionsbestimmung ein-
1000
gesetzt wird. Bei der Winkelbestimmung wird
eine kreisformige Kodescheibe eingesetzt, auf
welcher der Gray-Kode von auBen nach innen
Geschieht dieser Ubergang nicht synchron, so aufgetragen ist. Hierbei ist auf jeden Fall ein
konnen hier Fehler auftreten, die eine Verfal- zykHscher Gray-Kode von Vorteil (Bild 11-6).
schung des zu erkennenden Wertes ermoglichen
(in diesem Beispiel, wenn der Ubertrag auf das
vierte Bit deutlich nach dem „nuir'-Setzen der 11.2.2 Fernschreibe-Kode
ersten drei Bits kommt). Um den Fehler so klein Der Fernschreibe-Kode oder auch Telegraphen-
wie moglich zu halten, soUte sich bei jedem Kode Nr. 2 (CCITT-Code No. 2) ist dnfunfstel-
Ubergang nur ein Bit andern. Man spricht dann liger Kode, der durch die Doppelbelegung von
auch von einem einschrittigen Kode. einzelnen Kodeworten statt nur 32 Kodeworte
rund 60 iibertragen kann. Ermoglicht wird dies
Unter einem einschrittigen Kode versteht durch eine Umschaltung von zwei gleich-
man einen Kode, der sich nur in einer Stelle kodierten Spalten mit Hilfe von zwei Umschalt-
zu seinen benachbarten Zahlen unterschei-
det.

Realisiert wurde dies im Gray-Kode (E. GRAY,


1835 bis 1901) nach Tabelle 11-9.
Beim Gray-Kode, der die dezimalen Zahlen 0
bis 9 darstellt, andert sich von einer Zahl zur
nachsten stets nur ein Bit. In dieser Darstellung
ist er die Basis fur den erweiterten Gray-Kode,
der alle 16 moglichen Kodeworte ausnutzt (Ta-
belle 11-9, rechts). Nicht abgedeckt ist bei der
Darstellung dezimaler Zahlen der Ubergang
von 9 auf 0: Hier wechseln 3 Bits. Damit ist
dieser Gray-Kode nicht zyklisch. Durch eine
kleine Modifikation nach Glixon konnte jedoch
auch dieser Ubergang einschrittig gemacht wer-
den, so daB dieser Gray-Kode nun auch fur die
Darstellung der dezimalen Zahlen 0 bis 9 zy-
khsch ist. In Tabelle 11-9 ist diese Anderung Bild 11-6. Kreisteilung eines Winkelgebers im Gray-
grau gekennzeichnet. Der erweiterte Gray- Kode.
Kode nutzt alle 16 Kodeworte aus. Er ist vom Werkfoto: TWK

Werkfoto: TWK
418 11 Grundlagen der digitalen Schaltungstechnik

zeichen. Diese geben an, ob das nachfolgende Die einzelnen Abkiirzungen in Tabelle 11-10
Kodewort der Buchstabenspalte oder der Zif- bedeuten:
fernspalte zuzuordnen ist. So konnen 26 Alpha-
KL Klingel ZWR Zwischenraum
zeichen (eine Unterscheidung zwischen GroB-
WD „werda?" SZ Sonderzeichen
und Kleinbuchstaben erfolgt bei diesem Kode
nicht), 10 Dezimalziffern, U Satzzeichen und 5 WR Wagenriicklauf * unbenutzt,
Sonderzeichen (drei davon sind frei definierbar) ZL Zeilenvorschub Nullwort.
iibertragen werden. Ebenso stehen Kodes fiir
den Wagenrucklauf, den Zwischenraum und fur 11.2.3 ASCII-Kode
den Zeilenvorschub zur Verfugung. Das Null-
wort (00000) wird bei diesem Kode nicht be- Fiir die Datenubertragung und zur Kopplung
nutzt. In Tabelle 11-10 ist dieser Kode zusam- digitaler Gerate dient der ASCII-Kode (Ame-
mengefaBt. rican Standard Code for Information Inter-
change). Er besteht aus einem 8-Bit-Wort
Tabelle 11-10. Fiinfstelliger Fernschreibe-Kode (Byte), bei dem das MSB (Most Significant Bit)
nach CCITT Nr. 2. das Paritatsbit (Priifbit) ist, und die anderen
Kode sieben Bits ein Zeichen darstellen. So sind ne-
Buchstaben Ziffern Dual-Kode ben den Zahlen 0 bis 9 auch samtliche Buchsta-
Nummer
ben (groB und klein) vorhanden sowie eine
1 A - 11000 Reihe von Sonderzeichen. Aus diesem Grund
2 B ? 10011 wird der ASCII-Kode auch vorwiegend in der
3 C 01110 Textverarbeitung zur Erzeugung der Arbeits-
4 D WD 10010 dateien verwendet.
5 E 3 10000 Wird das Paritatsbit genutzt, so konnen mit
6 F SZ 10110 den sieben verbleibenden Bits 128 Zeichen dar-
7 G SZ 01011 gestellt werden (Tabelle 11-11). Dies ist der
8 H SZ 00101 Standard-ASCII-Zeichensatz. Bei gerader Pari-
9 1 8 01100 tdtsprilfung wird das MSB auf „0" gesetzt,
10 J KL 11010 wenn die Anzahl der „len" in den verbleiben-
11 K ( 11110 den 7 Bits gerade ist, andernfalls auf ,,1". Bei
12 L ) 01001 ungerader Paritdtspriifung ist dies gerade um-
13 M 00111 gekehrt. Die Paritatspriifung dient vor allem
14 N , 00110 der Fehlererkennung bei der Ubertragung von
15 0 9 00011 ASCII-Dateien (z.B. fur serielle Drucker-
16 P 0 01101
schnittstellen). Verzichtet man auf eine Pari-
tatspriifung, so wird das Priifbit auf „ 1 " ge-
17 Q 1 11101
setzt.
18 R 4 01010
19 S ' 10100 Der erweiterte ASCII-Zeichensatz (Tabelle
20 T 5 00001
11-12) verzichtet ebenfalls auf die Paritats-
priifung. Er verwendet das hochstwertige Bit
21 U 7 11 100
(MSB), um vom Standard-ASCII-Zeichensatz
22 V = 01111
auf die Erweiterung umzuschalten (Standard-
23 w 2 11001 Zeichensatz: MSB=0, erweiterter Zeichensatz:
24 X / 101 11 MSB = 1). Diese Variante ist vor allem in der
25 Y 6 10101 Textverarbeitung von Bedeutung. Dadurch
26 z + 10001 wird Platz geschaffen, um landerspezifische
Sonderzeichen darzustellen. Fiir Deutschland
27 WR 00010
sind dies beispielsweise samtliche Umlaute in
28 ZL 01000
groB und klein (a. A, 5, O, u, U) sowie das
29 Bu 11111
scharfe B (z. B. B = ASCII-Kode 225, steht auch
30 Zi 11011 fiir Beta). Aber auch spanische, griechische und
31 Zwr 00100 viele andere Zeichen stehen zur Verfugung.
32 —* 00000 Dies zeigt deutlich, daB die Erweiterung des
11.2 Kodes 419

Tabell s11-11 . ASCII-TalDelle nach C C I T T - K o de Nr. 5.

Dl = Parity Bit oder ungenutzt

^^\^ hoherwertigeres ^6 0 0 0 0 1 1 1 1
^^^-.^^^^ Nibbel
Ds 0 0 1 1 0 0 1 1
niederwertigeres\^^
Nibbe ^ - ^ ^ D, 0 1 0 1 0 1 0 1

^3 D, D^ Do 0 1 2 3 4 5 6 7 1
0 0 0 0 0 NUL DLE(TC7) SP 0 @ P ' P1
0 0 0 1 1 SOH0"C1) DC1 1 1 A Q a q
0 0 1 0 2 STX(TC2) DC2 " 2 B R b r

0 0 1 1 3 ETX(TC3) DC3 # 3 C S c s

0 1 0 0 4 E0T(TC4) DC4 $ 4 D T d t

0 1 0 1 5 ENQ(TC5) NAK(TC8) % 5 E U e u

0 1 1 0 6 ACK(TC6) SYN (TC9) & 6 F V f V

1° 1 1 1 7 BEL ETI(TC10) ' 7 G w g w

0 0 0 8 BS(FEO) CAN ( 8 H X h X

0 0 1 9 HT(FE1) EM ) 9 1 Y i y
* z
0 1 0 A LF(FE2) SUB J i z

0 1 1 B VT(FE3) ESC + ; K [ l< {


1 0 0 C FF(FE4) FS(IS4) , < L \ 1 [
1 0 1 D CR(FE5) GS(IS3) - = M ] m }
1 1 0 E SO RS(IS2) > N n ~
1 1 1 F SI US(IS1) 1 ? 0 — 0 DEL

ASCII-Satzes nicht genormt ist und deshalb EOT end of transmis- Ende der Dateniiber-
vollig unterschiedlich sein kann. sion tragung
Die Abkurzungen fur die Steuerzeichen haben ESC escape Umschaltung
folgende Bedeutung: ETB end of transmis- Ende des Dateniiber-
ACK acknowledge Riickmeldung sion block tragungsblocks
BEL bell Klingel ETX end of text Textende
BS backspace Riickschritt FE format effector Formatsteuerung
CAN cancel ungiiltig FF format feed Papiervorschub
CR carriage return Wagenriicklauf FS file separator Hauptgruppen-
DC device control Steuerzeichen fur
Trennung
Geratesteuerung
DEL delete loschen GS group separator Gruppen-Trennung
DLE data link escape Dateniibertragungs- HT horizontal Horizontal-
umschaltung tabulation Tabulator
EM end of medium Ende der Aufzeich- IS information Informations-
nung separator trennung
ENQ enquiry Stationsaufforderung LF Hne feed Zeilenvorschub
420 11 Grundlagen der digitalen Schaltungstechnik

ool ol
CM CO
l\
CD CD CMI
^1
• ^1+1 Al VI — i n 0 •
U)|
CMI
tAI
si CMl Q- "
CO
lol

Jill c CVJ

^1 (0 00
CMl
<3)
CM CO CO
CM
CMI
CO
CM
col
CO
CMI CMI
CO
CM
CO
col CO
CMJ CM

en.
e ® CO
8 1 UJ c
o] CMI col u>| col col o>| ol
CM
CM] col
CMI
CM CM CM CM

L] • •
CM

^^1 <M|
h =l1J 1 L \=\
ool <3>l CMI CO CO
8
^ H a>l
^ O) 0 0 0 0 0

+\ —1Iflir
CM CM CMI

9J JL
CM

_L HI
00 CMI
5 00 S 00 O)

3:::: T T r IT T n1 =1 1 r
1 o
s
0
S
iZ
10
coi
(Ol

o<
CO

•0
2 (O
ol
^ CMI CO
IS
U)|

L9 "^ (01

1 0
1^

•0
CO

0
03

<3
0

0
5
CM

0 0
5

0
10

C*4
col

Q
o CO (O ol CM col
CM CO CO CO CO CO co 2 m 5

So <CC :C0 <C0 »C0 0 <CD KD :<


(0 00 0 CM CO CO
CM CM CM CM CM CM
-J ^
9^ cr CO 3 > X N LU
Q
s 8 0 8 0 § 0 §
Oi
0
0

CO 1:5 0 T3 0) SI E c 0

CM
00
0
CO
00
0 0
00
0
2
0
0
§ Si CO
8 i
3^
0

O CO 3 > X N 1 1 < 1

f5
1 f2 f5
o 0 8 0 0 0 0 0 0 0 0 0 0

< GO 0 Q UJ U. 0 X - 1 2 z 0
9<§) "^
a s s CM
a>
0
0 0
0
0 0 0 0
S
0 i 3
0
8
^o Q o CM CO in CO 00 (J> V il A
c^-
(U
N 1 ^^
ii
X)
1 «
CO
o 0
1 ^1
CO
0
u>
CO
0
CO
g
00
0
1 s
0
S
CM CO
i
CO 1^

u
GO 4fc 0
08 * + 1

Z 0^
;H c«
00 c»
<1>
a> 00
Uj-s
1 t^ CO
•<* CM
CO
tM
0 ° co°
-•-» CM
;H ^
(i> H LU ^O ° CM 5 CO 0 0
Z ° CD ° CD S
CO °
OD
Q >- z ° CO
fl)

^
-4-^
*-4 • G Q Q CO LU <
0
LIl CO LU ILL CD
CM 1 w 1 '^ 1 f^ 0 CO

^
a>
H — 1X ^8 8 1
s
he LJJ CO ^
0

0

-J > u. 0 CO
(N
T—1

r-i
-C
:0
XJ
H Z o co QQ CD CO

T ^ 05
<]>

0-)
(Nco^Lncor^coo><CQOQiUU-
X)
CTt
I^qqifsJ S9J3§iy9MJ9p9lU
H
11.2 Kodes 421

NAK negative negative Riick- Ubereinstimmung, im anderen Fall eine Fehler-


acknowledge meldung meldung. Eine Korrektur ist damit nicht mog-
NUL null FuUzeichen Hch.
RS record separator Untergruppen- Bei fehlerkorrigierenden Kodes muB die Redun-
Trennung danz noch weiter erhoht werden. Eine MogHch-
SI shift in Riickschaltung keit besteht darin, die Information insgesamt
SO shift out Dauerumschaltung dreimal zu senden (67%ige Redundanz). Dabei
SOH start of heading Kopfanfang entstehen mit sehr hoher Wahrscheinlichkeit
SP space Leerzeichen mindestens zwei gleiche Datenworte, die iiber-
STX start of text Textanfang einstimmen und die richtige Information be-
SUB substitute Substitution inhalten.
character Die oben aufgefiihrten Verfahren zur Fehler-
SYN synchronous idle Synchronisierung erkennung und Fehlerkorrektur lassen sich auf
TC transmission Ubertragungs- jegliche Art der Ubertragung anwenden, sind
control steuerung aber nicht besonders effektiv. Die Theorie der
US unit separator Teilgruppen-Trennung fehlererkennenden und korrigierenden Kodes
VT vertical tabulation Vertikal-Tabulator. geht von der Tatsache aus, daB bei einem voll
ausgenutzten Kode ein Fehler in einem Kode-
wort ein neues Kodewort erzeugt. Also muB sich
11.2.4 Redundante Kodes ein Kode, bei dem ein Fehler erkannt werden
Redundante Kodes werden ebenfalls sehr haufig soil, mindestens in zwei Stellen des Kodewortes
fur die Dateniibertragung eingesetzt. Wie beim unterscheiden. Zwischen den benutzten Kode-
ASCII-Kode das Paritatsbit zur Fehlererken- worten liegen also unbenutzte, die auf einen
nung herangezogen werden kann (es ist eben- Fehler hinweisen. Dieser Abstand wird auch als
falls redundant, da es zum Informationsinhalt Hammingdistanz d^^^ bezeichnet, die auf den
nicht beitragt), so sind diese redundanten Kodes Grad der erkennbaren und korrigierbaren Feh-
speziell dazu entwickelt worden, Fehler zu er- ler zuriickschlieBen laBt. Eine Hammingdistanz
kennen und gegebenenfalls zu korrigieren. Letz- von beispielsweise d^^^ = 2 liegt dann vor, wenn
teres ist jedoch nur dann mogHch, wenn die sich das nachste Kodewort in zwei Stellen unter-
Redundanz auf die Fehlerstelle aufmerksam scheidet.
macht.
11.2.5 Fehlererkennende Kodes
Wird in einem Kode mehr als nur die Infor-
mation iibertragen, so ist dieser redundant. Zur einfachen Fehlererkennung muB wenig-
Diese Redundanz kann dazu verwendet wer- stens ein Bit zusatzlich vorhanden sein. Am Bei-
den, Fehler zu erkennen und gegebenenfalls spiel des ASCII-Kodes ist dies das Paritdts-Bit
zu korrigieren. D7. Durch ein solches Paritats-Bit laBt sich
jede Kodierung zur Fehlererkennung erganzen.
Am Beispiel der Dualzahlen von 0 bis 15 wird
Die Redundanz soUte natiirlich in einem sinn- dies gezeigt (Tabelle 11-13).
vollen Verhaltnis zur iibertragenen Information Das Paritats-Bit D4 (auch Priifbit genannt) ist
stehen. Dies hat fiir die Erkennung und Korrek- die Quersumme der Bits DO bis D3. Bei einer
tur von Fehlern zur Entwicklung bestimmter
ungeraden Anzahl von Einsen wird das Paritats-
Kodes gefiihrt.
Bit ,,1", bei einer geraden Anzahl „0". So spricht
man auch von einer geraden Ergdnzung durch
Grundsatzliche Verfahren zur Kodesicherung das Paritats-Bit (engl.: even parity), im anderen
Zur Erkennung oder Korrektur eines Fehlers ist Fall von einer ungeraden Ergdnzung (odd par-
Redundanz notwendig. Will man einen Fehler ity).
nur erkennen, so besteht eine einfache Moglich- Auf der Empfangsseite wird dagegen die Quer-
keit darin, die iibertragene Information zu wie- summe iiber alle fiinf Bits gebildet, DO bis D3
derholen (50%ige Redundanz). Durch einfachen und Paritdts-Bit D4. Wurde der Kode richtig
Vergleich ergibt sich bei richtiger Ubertragung iibertragen, so ergibt die Quersumme stets null:
422 11 Grundlagen der digitalen Schaltungstechnik

Tabelle 11-13. Dualzahlen mit Paritats-Bit. Es entsteht so der Eindruck, daB diese Paare
durch die Zahlen 0 bis 9 laufen (engl.: walking).
Paritats- Dualzahlen
Quer-
Der 7-4-2-1-0-Kode (Tabelle 11-14, rechts) soil
Bit summe
an dieser Stelle als Vertreter weiterer 2-aus-5-
1 D4 D3 D2 D^ DO Kodes stehen, deren Kodierung sich aus der
Wertigkeit der benutzten Stellen ergibt. In die-
0 0 0 0 0 0 1 sem Fall haben die einzelnen Bits die Wertigkeit
1 0 0 0 1 0 7, 4, 2, 1 und 0. Durch Setzen von zwei Bits
1 0 0 1 0 0 lassen sich alle Zahlen von 1 bis 9 darstellen.
0 0 0 1 1 0 Das Kodewort fur null ist eine Ausnahme und
1 0 1 0 0 0 ergibt sich aus dem von den Zahlen 1 bis 9 nicht
0 0 1 0 1 0 genutzten Kodewort.
0 0 1 1 0 0
1 0 1 1 1 0 Tabelle 11-14. 2-aus-5-Kodes.
1 0 0 0 0
0 0 0 1 0 2-aus-5-Kodes
0 0 1 0 0
Walking-Kode 7-4-2-1-0-Kode 1
1 0 1 1 0
0 1 0 0 0 dezimaler
DA D3 D2 D1 DO D4 D3 D2 D1 DO
1 1 0 1 0 Wert
1
0
1
1
1
1
0
1
0
0
0 0 0 1 A 0 1 1 0 0 0
0 0 1 l/ 1 0 0 0 1 1
0 0 1 /1 /o 2 0 0 1 0 1
0 1 0 3 0 0 1 1 0
Bin Kode mit Paritatsprufung wurde dann
richtig ubertragen, wenn seine Quersumme 0 1 / / 0 4 0 1 0 0 1
1 0 0 5 0 1 0 1 0
am Empfangsort bei gerader Paritatsprii-
fung null ergibt. / / 0 0 6 0 1 1 0 0
0 0 7 1 0 0 0 1
In obigem Beispiel in Tabelle 11-13 wurden die "^ ^ 0 Mi 8 1 0 0 1 0
0 0 1 0 9 1 0 1 0 0
Dualzahlen 0 bis 15 durch ein Priifbit erganzt. V
Es entstand so ein dualergdnzter Kode, der statt 7 4 2 1 0
vier nunmehr fiinf Stellen besitzt.
Es gibt noch eine ganze Reihe fiinfstelliger Ko- Wertigkeit der Stellen
des, wobei die 2-auS'5-Kodes eine besondere Be-
deutung haben. Wie sich auch bereits aus der 11.2.6 Fehlerkorrigierende Kodes
Bezeichnung ablesen laBt, handelt es sich dabei
um funfstellige Kodes, bei denen stets zwei Stel- Sollen Fehler nicht nur erkannt, sondern auch
len ,,1" und die restlichen „0" sind. Die Fehler- korrigiert werden, so muB die Redundanz weiter
erkennung beruht bei diesen Kodes ebenfalls erhoht werden. Ein Zusammenhang zwischen
auf der Geradzahligkeitspriifung: Bei richtigem der Redundanz und der mogHchen Zahl der
Empfang der Datenworte muB die Quersumme erkennbaren und korrigierbaren Fehler hat
stets null ergeben, da stets zwei Bits gesetzt Hamming (R. HAMMING, amerikanischer Ma-
sind. Wird wahrend der Ubertragung ein Bit thematiker) in seinen Gleichungen festgelegt.
verfalscht, so entsteht in jedem Fall eine unge- Der Abstand zweier benachbarter Kodeworter
rade Anzahl von Einsen, die erkannt wird. im Koderaum wird auch als Hammingdistanz
(i^in bezeichnet:
Beispiele fur 2-aus-5-Kode sind der Walking-
Kode und der 7-4-2-1-0-Kode. Beide Kodes sind
in Tabelle 11-14 gegeniibergestellt. Beim Walk- Unter Hammingdistanz d^^^ versteht man
ing-Kode werden zwei Bit-Paare (in Tabelle den Abstand zwischen zwei Kodewortern.
11-14 gekennzeichnet) beim Ubergang auf die
nachste Zahl um zwei Stellen weitergeschoben. Fur t/^i„ = 1 bedeutet dies, daB sich die Kode-
11.2 Kodes 423

worter nur in einer Stelle unterscheiden, wie


beispielsweise der Gray-Kode. Bei d^^^ = 2 un-
terscheiden sich die Kodeworter in zwei Stellen,
wie dies bei den 2-aus-5-Kodes der Fall ist.
Bei d^^^ = 1 kann ein Fehler weder erkannt
noch korrigiert werden, da eine Verfalschung
des Kodewortes immer zu einem neuen gultigen
Kodewort fuhrt. Wird hingegen ein Kode mit Korrekturradius

(i^in = 2 in einer Stelle gestort (man spricht hier


auch von einem Fehler mit dem Gewicht 1), so
fuhrt dies stets zu einem ungultigen Kodewort,
Korrekturraum
so daB dieser Fehler erkannt wird. Deshalb gilt:
Fehlerstellen mit dem Gewicht 1
Zur Erkennung eines einfachen Fehlers
ist mindestens eine Hammingdistanz von
d^,„ = 2 erforderlich. Bild 11-7. Korrekturraum und Korrekturradius bei
einer Hammingdistanz von d^^^ = 3.
Erhoht man die Hammingdistanz, so konnen
entsprechend des erweiterten Koderaums auch tur, da der Fehler den fiir ihn gultigen Korrek-
Fehler mit einem hoheren Gewicht erkannt turraum verlaBt und in den Einzugsbereich ei-
werden. Fiir die maximale Anzahl F^^^^ der nes anderen gultigen Kodewortes fallt.
erkennbaren Fehler gilt Bild 11-8 zeigt den Koderaum fur die Ham-
mingdistanz J^in = 4. Hier werden maximal bis
^ E m a x ~" " m i n ^• (11-12)
zu drei Fehler in einem Kodewort erkannt.
Die Korrektur eines Kodes ist mogHch, wenn
die fehlerhafte Kodezahl eindeutig einer giil-
tigen Zahl im Koderaum zugeordnet werden
kann. Der notwendige Korrektur radius r^ des
Korrekturraumes ergibt sich gemaB

rr. - Korrekturradius

Zur Korrektur eines Fehlers ist also mindestens


eine Hammingdistanz von d^^^ = 3 notwendig,
da sonst der Korrekturradius kleiner als 1 wird.

Zur Korrektur eines Fehlers ist mindestens


eine Hammingdistanz von d^^^ = 3 erforder-
lich. Bild 11-8. Korrekturraum und Korrekturradius bei
einer Hammingdistanz von d^^^ = 4.
Bild 11-7 zeigt zwei Kodeworter mit einer Ham-
mingdistanz vonrf^in= 3 und den dazugehori- Richtig korrigiert werden konnen jedoch eben-
gen Koderaum. falls nur einfache Fehler, da ein Doppelfehler
Tritt bei dem Beispiel in Bild 11-7 ein Fehler mit auf der Schnittlinie beider Korrekturrdume hegt
dem Gewicht 1 auf (Verfalschung des Kodes in und deshalb nicht mehr eindeutig zugeordnet
einer Stelle), so wird er richtig zum nachsten werden kann. Der zum Korrekturraum geho-
Kodewort hin korrigiert. Er hegt innerhalb des rende Korrekturradius r^ ist demnach stets klei-
durch den Korrekturradius beschriebenen Kor- ner als die halbe Hammingdistanz. Bild 11-8 ver-
rekturraums. Ein Doppelfehler (Gewicht = 2) anschaulicht den Inhah der Gl. (11-13).
fuhrt hingegen stets zu einer falschen Korrek- Die maximale Anzahl der korrigierbaren Fehler
424 11 Grundlagen der digitalen Schaltungstechnik

^Kmax wird durch den Korrekturradius be- Aus diesem Beispiel konnen folgende wichtigen
stimmt und laBt sich aus Gl. (11-13) direkt ent- Schliisse gezogen werden:
nehmen Bei einer Hammingdistanz von d^^^ = 5 konnen
maximal Fehler mit einem Gewicht von 2 rich-
tig korrigiert werden. Fehler mit einem Gewicht
von beispielsweise 3 wurden in einen anderen
Korrekturraum fallen und deshalb falsch korri-
Da Fornax ^^^ ganze Zahlen annehmen kann, giert werden (Bild 11-8). Soil die Korrektur nur
laBt sich aus dieser Ungleichung fur d^^^ die bei einem Fehlergewicht von 1 erfolgen {Ein-
Gl. (11-15) formulieren: schrdnkung des Korrekturraums), so konnen da-
fur weitere Fehler erkannt werden:
"min "~ 2 ' -nCmax+ ^^ (11-15) ^E = ' , - 2 - F K - 1 = 2, bei FK = 1.

Bei diesen erkannten Fehlern handelt es sich


Die Anzahl der erkennbaren Fehler (F^), wenn
um Fehler mit dem Gewicht 2 und 3. Durch die
nicht alle korrigierbar sind oder wenn nicht die
Einschrankung des Korrekturraums wird also
maximale Anzahl von Korrekturen (also nur
Platz geschaffen, um hoherwertigere Fehler zu
FK) durchgefuhrt werden soil, ergibt sich zu
erkennen.
^E = ' i „ i „ - 2 - F K - l . (11-16) Um diese Anforderungen an die Fehlererken-
nung und -korrektur bei den bereits bekannten
Kodes anzuwenden, miissen entsprechend Kon-
Dabei gilt trollstellen k zu den vorhandenen Nutzbits m
hinzugefugt werden. Man erhalt so ein Kode-
^ E < ^Emax Und (11-17) wort AT, das aus folgenden Stellen besteht:
^K^-flCmax- (11-18)
N = m-\-k. (11-19)

Beispiel Der so entstandene Hamming-Kode gehort da-


11.2-1: Zur Veranschaulichung der Zusammenhange mit zu den Gruppenkodes, da er sich aus einer
der Gleichungen (11-12) bis (11-18) soli ein Kode mil Informationsgruppe (m) und einer Kontroll-
einer Hammingdistanz von d^^^ = 5 angenommen gruppe (k) zusammensetzt.
werden. Ermittelt werden soil die maximale Anzahl Wie viele Kontrollstellen din einen Kode ange-
der erkennbaren Fehler (F^^^x) ^^^ ^^^ korrigierba- fiigt werden mussen, hangt von der Hamming-
ren Fehler (F^n^a^) sowie die Anzahl der erkennbaren distanz d^^^ ab und damit von dem Gewicht
Fehler {F^).
der korrigierbaren Fehler. Sollen beispielsweise
Losung: alle einfachen Fehler korrigiert werden, so ist
^^i„ = 3 (Bild 11-7). Das bedeutet, daB sich ein
Nach GL (11-12) errechnet sich die maximale Anzahl Kodewort beim Ubergang auf das nachste in
der erkennbaren Fehler (wenn keine korrigiert wer- drei Stellen unterscheiden muB. Fiir einen Ein-
den) zu F^^,, = J„i„ - 1 = 4.
Bit-Kode (m = 1) mussen demnach 2 Kontroll-
Das bedeutet, daB alle Kodeworter, die zwischen zwei bits hinzugefugt werden, um diese Bedingung
giiltigen Kodewortern liegen, als Fehler erkannt wer-
zu erfullen. Der Hammingkode besteht dann
den. Es konnen also Fehler mit einem Gewicht von 4
noch erkannt werden. Der Korrekturradius ist dabei aus A'^ = 3 Stellen. Aber bereits bei einem Kode
gleich null. mit m = 2 reichen die beiden Korrekturstellen
Bei Korrektur erhalt man nach Umstellen von Gl. nicht mehr aus. In diesem Fall muB A: = 3 ge-
(11-16) die maximale Anzahl der korrigierbaren wahlt werden (Tabelle 11-15). Der Zusammen-
Fehler: hang ergibt sich allgemein fur eine Hamming-
distanz von ^^:„ = 3 zu
^K™,x = (rf™i„-l)/2 = 2.
Dariiber hinaus konnen nach Gl. (11-16) keine weite- m= 2^-k-\. (11-20)
ren Fehler F^ mehr erkannt werden. Es gilt
FE = ^ . i „ - 2 - F K - l = 0, bei F^=F^^,,. Fur eine Hammingdistanz von d^^^ = 4 gilt
11.2 Kodes 425

durch ein Paritats-Bit erganzt werden. a) Bis zu wel-


m= 2'-^-/c. (11-21) chem Gewicht konnen damit Fehler erkannt werden?
b) Konnen auch Fehler korrigiert werden? c) Stellen
In Tabelle 11-15 sind die Nutzbits und die not- Sie die Tabelle fiir den dualerganzten Gray-Kode auf.
d) Uberpriifen Sie das Ergebnis mit Hilfe der Quer-
wendige Anzahl der Korrekturstellen bei den
summe iiber alle 5 Bits.
Hammingdistanzen d^,^ = 3 und ^^^^ = 4 nach
Gl. (11-21) und Gl. (11-22) gegenubergestellt, U 11.2-2: Zur Darstellung der Zahlen 0 bis 7 reicht
ebenso die daraus resultierende Gesamtwort- ein dreistelHger Gray-Kode. a) Ist dieser vom vierstel-
breite. ligen Gray-Kode ausgehend zyklisch? b) Wenn nicht,
Tabelle 11-15 zeigt deutlich, daB die Kodesiche- welche Modifikation nach Glixon ist notwendig?
rung bei groBen Wortbreiten durch verhaltnis-
maBig wenige Kontrollstellen erreicht werden U 11.2-3: Zur Dateniibertragung einer Richtfunk-
strecke wird ein Kode mit der Hammingdistanz von
kann. Bei 57 Nutzbits sind lediglich 6 Kontroll- ^min = ^ verwendet. a) In wieviel Stellen kann dieser
stellen notwendig (entspricht einer Redundanz Kode gestort werden, ohne daB ein neues giiltiges
kleiner 10%). Zur Sicherung eines Halbbytes Kodewort entsteht? b) Wie hoch ist das Gewicht eines
(4 Bit) ist dagegen eine Redundanz von an- solchen Fehlers? c) Bis zu welchem Gewicht konnen
nahernd 50% notwendig. Fehler korrigiert werden? d) Konnen dariiber hinaus
Wird in Gl. (11-20) und (11-21) die Hamming- noch weitere erkannt werden? e) Wie viele Fehler
distanz eingearbeitet, so ergibt sich kdnnen erkannt werden, wenn der Korrekturradius
auf r^ = 2 eingeschrankt wird? f) Welches Gewicht
haben diese Fehler?

U 11.2-4: Bei einem Kode mit 11 Nutzbits sollen alle


einfachen Fehler korrigiert werden. a) Welche Ham-
mingdistanz ist notwendig? b) Wie viele Kontrollstel-
Zur Ubung len ergeben sich? c) LaBt sich damit auch noch ein
U 11.2-1: Der Gray-Kode fiir die Zahlen 0 bis 9 soil Kode mit 12 Nutzbits sichern?

Tabelle 11-15. Zusammenhang zwischen Nutzbits, Kontrollbits und Wortbreite nach Hamming.
<^min = 3 ^min ^

m k N m k N

1 2 3 1 3 4
2 3 5 2 4 6
3 3 6 3 4 7
4 3 7 4 4 8
5 4 9 5 5 10
6 4 10 6 5 11
7 4 11 7 5 12
8 4 12 8 5 13
9 4 13 9 5 14
10 4 14 10 5 15
11 4 15 11 5 16

26 5 31 26 6 32

57 6 63 bl 7 64

120 7 127 120 8 128

Nutzbits Kontrollbits gesamte Nutzbits Kontrollbits gesamte


Wortbreite (A/) (m) Wortbreite (A/)
426 11 Grundlagen der digitalen Schaltungstechnik

11J Grundlagen gibt die Verkniipfung von Eingang (E) und


Ausgang (A) wieder:
der Booleschen Algebra
E A

1 0
11.3.1 Binare Verkniipfungen 0 1

Die Boolesche Algebra geht auf den britischen


Mathematiker und Philosophen Boole (G. UND-Funktion
BOOLE, 1815 bis 1864) zuriick. Es handelt sich Bei der UND-Funktion miissen alle Eingangs-
dabei um einen Formalismus, mit dem man in variablen den Zustand logisch 1 einnehmen,
der Lage ist, logische Aussagen und Funktionen wenn der Ausgang ebenfalls „wahr" wird. Die
zweier Zustdnde zu beschreiben. Da es sich da- Zahl der Eingangsvariablen betragt dabei min-
bei stets um Schaltvorgange handelt, spricht destens zv^ei (E^, E2,..., E^).
man auch von Schaltalgebra. Ihre Anwendung
findet man neben der Elektrotechnik beispiels- Der Boolesche Ausdruck fur zwei Eingangs-
weise auch in der Pneumatik (Regelung und variablen lautet:
Steuerung durch Druckluft) und Fluidik (Stro-
mungsschalter fur gasformige und fliissige Me- (gesprochen: A ist gleich E^ und E2).
dien, die bei geringem Druck arbeiten). In der
Elektrotechnik lassen sich damit digitale Schal- Als Verkniipfungssymbol fur die UND-Funk-
tungen beschreiben. tion wird in den Booleschen Gleichungen das
Mal-Zeichen (•) verwendet. Die Wahrheits-
tabelle fur zwei Eingangsvariablen ist der Ta-
Die Boolesche Algebra kennt zwei zulassige belle 11-16, links, zu entnehmen. In dem eben-
Zustande: falls dargestellten Schaltsymbol ist die UND-
wahr =logisch 1, Verkniipfung durch das &-Zeichen gekenn-
Spannung vorhanden, zeichnet. Des weiteren sind in Tabelle 11-16
nicht wahr = logisch 0, keine Spannung. auch die Wahrheitstabellen fur 3 und 4 Ein-
(11-23) gangsvariablen zusammengestellt.
Die UND-Verknupfung wird in der Schaltalge-
Da ein Element der Booleschen Algebra diese bra auch als Konjunktion bezeichnet.
beiden Zustande einnehmen kann, spricht man
auch von bindren Elementen (Abschn. 11.1). Es
Unter einer Konjunktion versteht man die
gibt drei binare Basisfunktionen, die NICHT-
UND-Verkniipfung von Eingangsvariablen.
Funktion (Negation), die UND-Funktion (Kon-
junktion) und die ODER-Funktion (Disjunk-
tion). Sind in einer Konjunktion alle Eingangsvaria-
blen vertreten, so spricht man von einer Voll-
konjunktion. Dabei ist es gleich, ob die Ein-
NICHT-Funktion
gangsvariablen in positiver Form oder in ihrer
Die NICHT-Funktion besitzt nur eine Ein- negierten Form vorHegen, sie miissen jedoch
gangsvariable (hier E), die die beiden Zustande einmal in der Konjunktion vorkommen. Die
logisch 1 und logisch 0 einnehmen kann. In Vollkonjunktion ist bei der Erstellung von Ver-
Abhangigkeit davon nimmt der Ausgang A ge- knupfungsgleichungen eine wichtige Vorausset-
nau den entgegengesetzten Zustand ein. Eine zung (Beispiele zur Booleschen Algebra in Ab-
negierte Variable wird durch einen Querstrich schn. 11.3.3):
liber dem Buchstaben gekennzeichnet.
Die mathematische Beschreibung nach Boole Unter einer Vollkonjunktion versteht man
ergibt A = E (gesprochen: A ist gleich E-quer die UND-Verkniipfung aller Eingangsvaria-
oder A ist gleich E-nicht). blen, unabhangig davon, ob sie negiert oder
nicht negiert vorHegen.
Die Wahrheitstabelle der NICHT-Funktion
11.3 Grundlagen des Booleschen Algebra 427

Tabelle 11-16. Gegeniiberstellung von Konjunktion und Disjunktion.


Konjunktion Disjunktion
Zahl der
Eingange
Symbol Symbol

c^ y \0- Y

>AH ^H
B- & B- > 1 h Y
cA C-\

>4H A-\
e- & O Y B- > 1 \0- Y
cJ C-l

D Y D \ Y \ Y
0
0
1
>AH A- 1
B- B- 0
& >1
c- c- 0
DA D- 1
1
^i
B-
A-
B-
0
& \0- Y > 1 \0- Y 0
C- C-
1
D-\ D-
1
0
0
1
0 1

ODER-Funktion Tabelle 11-16, rechts, zeigt die Wahrheitstabel-


len fur 2, 3 und 4 Eingangsvariablen einer
Bei dieser Funktion wird der Ausgang dann ODER-Verknupfung. In der algebraischen
„wahr", wenn eine der Eingangsvariablen {E^, Schreibweise wird die ODER-Verkniipfung
£"2, ...,£'n) den Zustand logisch 1 eingenom- durch das Plus-Zeichen ( + ) wiedergegeben.
men hat. Auch hier sind mindestens zwei Ein- Die Symbolik der Schaltzeichen verwendet da-
gangsvariablen erforderlich, um die ODER- fur > 1 . Die ODER-Verkniipfung bezeichnet
Funktion zu erfullen. Der Boolesche Ausdruck man auch als Disjunktion.
fur zwei Eingangsvariablen lautet:
Unter einer Disjunktion versteht man die
A = E^-\-E2 ODER-Verknupfung von Eingangsvariablen.
(gesprochen: A ist gleich E^ oder E2).
428 11 Grundlagen der digitalen Schaltungstechnik

AuBer der Verknupfung positiver Teraie erhalt Tabelle 11-17. Wahrheitstabelle der Exclusive-
die Disjunktion auch eine besondere Bedeutung ODER-Verkniipfung.
bei der negativen Logik. Unter negativer Logik
versteht man Ereignisse, die bei dem Zustand Ausgangs- Verknijpfungs-
Eingangsvariable
,,0" wahr sind. Dies ist gerade die Umkehrung variable symbol
von Gl. (11-23). Da bei einer Disjunktion der
^1 ^2 A
Ausgang stets positiv ist, wenn einer der Ein-
gange positiv ist, so kann dieser nur dann „0" 0 0 0
werden, wenn auch alle Eingange „0" sind.
FaBt man dies als wahr auf, stellt die positive
0
1 0
1 1
1
i&^
Disjunktion in negativer Logik eine UND-Ver- 1 1 0
kniipfung dar. So kann iiber die Negation ein
Zusammenhang zwischen Konjunktion und
Disjunktion hergestellt werden. Den Beweis
hierzu hefern die Gesetze von De Morgan (Ab- ben Zustand, so daB in diesem Fall keine Anti-
schn. 11.3.2). In Tabelle 11-16 kommt dies bei valenz defmiert werden kann.
der Betrachtung der negierten Ausgangsvaria- In der Schaltalgebra wurde fur die Antivalenz
blen Y ebenfalls zum Ausdruck. Sie kann nur
das Verkniipfungszeichen © eingefuhrt (Plus-
dann den Wert „ 1 " annehmen, wenn alle Ein-
Zeichen im Kreis). Die Verknupfung selbst
gangsvariablen der Disjunktion null sind.
kann aus den bereits bekannten UND- und
Kommen in einer Disjunktion alle Eingangsva-
riablen einmal vor, gleich ob negiert oder nicht ODER-Verkniipfungen hergeleitet werden:
negiert, so spricht man auch hier von einer Voll-
A = {E,'T^)^{Y,-E^), (11-24)
disjunktion.
A = E^®E2. (11-25)
Unter einer Volldisjunktion versteht man
die ODER-Verknupfung aller Eingangsva- Beide Gleichungen erfiillen die Wahrheits-
riablen, unabhangig davon, ob sie negiert tabelle nach Tabelle 11-17.
oder nicht negiert vorliegen. Bei der Booleschen Verknupfung steht dem-
nach das • -Zeichen fiir die UND-Operation, das
Eine Sonderform der ODER-Verknupfung ist -\-'Zeichen fur die ODER-Operation und das
die Exklusive-ODER-Verknupfung. Im Gegen- @'Zeichen fur die Antivalenz.
satz zur obigen ODER-Funktion handelt es Die Umsetzung dieser Verkniipfungen erfolgt
sich hierbei um ein ,,ausschliefiliches" ODER, in der Digitaltechnik durch die entsprechenden
auch Antivalenz genannt. Die Antivalenz ist nur Gatter: UND-Gatter, ODER-Gatter, NICHT-
dann erfullt, wenn sich die Eingangsvariablen Gatter und Antivalenz-Galter.
unterscheiden. Allgemein werden dafur die englischen Bezeich-
nungen AND, OR, Inverter und EXOR ver-
Unter Antivalenz versteht man eine aus- Eingangsvariable
schlieBende (exklusive) ODER-Verknup- A 0 1 0 1 Verknupfung Bauelement
fung, bei der der Ausgang nur dann wahr B 0 0 1 1 nach Boole Schaltzeichen Bezeichnung
wird, wenn sich die Eingangsvariablen un-
terscheiden. Y 1 0 1 0 Y=A A—rrv—/Inverter
Die Wahrheitstabelle zur Antivalenz zeigt Ta-
belle 11-17.
JQ
.2
1
Y 0 0 0 1 Y = A*B
^=Q-^ AND-Gatter

Das Antivalenzgatter kann naturgemaB nur c


Y 0 1 1 1 Y = A+B OR-Gatter
zwei Eingangsvariablen miteinander verkniip- 1 ^=&-^
fen, da sein Ausgang stets nur dann wahr wird, 5
wenn sich die Eingangsvariablen unterschei-
den. Bei drei Eingangsvariablen haben in einem
Y 0 1 1 0 Y = A®B
t::Eh^ EXOR-Gatter

binaren Zahlensystem mindestens zwei densel- Bildli-9. Boolesche Verkniipfungen.


11.3 Grundlagen des Booleschen Algebra 429

wendet. Eine Zusammenstellung dieser Basis- (siehe Distributivgesetz). Durch sie ist festge-
funktionen findet sich in Bild 11-9 sowie in Ab- schrieben, unter welchen Bedingungen Variable
schn. 12. zu Konstanten werden, sich ausloschen oder
sich selbst wiedergeben:
11.3.2 Gesetze von Boole und De Morgan
A + 0=A; A-\-A = A
Diese grundlegenden Verkniipfungen gehor-
A-hl = 1 ; A-^A = 1
chen denselben Rechenregeln, wie sie aus der
A • 0=0;
Algebra bekannt sind. Boole hatte dies als er-
A ' 1 =A; A^iA^B)=A (11-29)
ster untersucht und sie in den folgenden Geset-
zen der Schaltalgebra (Boolesche Algebra) zu- A • A = A; A ' {A + B) = A
sammengefaBt. A-\-A'B =A^B

11.3.2.1 Gesetze der Schaltalgebra Doppelte Negierung


Kommutatiygesetz Wird eine Variable zweifach negiert, so heben
Das Kommutativgesetz besagt, dafi die Reihen- sich die Negierungen auf. Somit gilt:
folge der Variablen vertauscht werden kann. Es
gilt: A^A. (11-30)

A + B = B + A und Dies gilt auch dann, wenn die Variable mehr-


A • B = BA. (11-26) fach negiert ist. Beispielsweise reduziert sich
eine dreifache Negierung der Variablen A auf
Assoziativgesetz eine einfache Negierung.
Das Assoziativgesetz erlaubt die Vertauschung
der Reihenfolge von gleichrangigen Operato- 11.3.2.2 Gesetze von De Morgan
ren: Der enghsche Mathematiker De Morgan (DE
MORGAN, 1806 bis 1871) hat liber die Negation
A-\-B-\-C = {A + B)-{-C eine Beziehung der ODER-Verkniipfung zur
= A + {B-^C) und UND-Verkniipfung hergestellt. Sie resultieren
ABC = {A'B)'C in den beiden Gesetzen von De Morgan.
= A'{B'C). (11-27)
Erstes Gesetz von De Morgan
Distributivgesetz Negiert man eine ODER-Verknupfung, so ist
dies einer UND-Verknupfung gleich, bei der die
Das Distributivgesetz ermoglicht das Ausmulti- einzelnen Elemente negiert sind.
plizieren von Klammerausdrucken. Dabei ist
auf die Rangfolge der Operatoren zu achten. Es
gilt: A + B + C + .., = ABC (11-31)

A'{B-\-C) = AB + AC Oder
Zweites Gesetz von De Morgan
{A + B)(A + C) = A-hBC. (11-28)
Negiert man eine UND-Verknupfung, so ist
{A + B)'{A + C) = A + B'C, da dies einer ODER-Verkniipfung gleich, bei der
die einzelnen Elemente negiert sind.
A'A^A'C-\-A'B-\-B'C = A + B'C
A'BC'... = A + B^C + .... (11-32)
Absorptionsgesetze Der Beweis fmdet sich im Abschnitt, der die
Die Absorptionsgesetze sind das wichtigste Anwendung der Gesetze von De Morgan erlau-
Mittel bei der Vereinfachung von Gleichungen tert (Seite 431).
430 11 Grundlagen der digitalen Schaltungstechnik

ODER-Normalform Beispiel
Mit diesen Grundgesetzen ist man in der Lage, 1L3-1: Mit Hilfe von Tabelle 11-18 soil die ODER-
das Verhalten einer Schaltung vom Eingang Normalform der Ausgangsvariablen 7 gefunden wer-
den. Diese soil anschlieBend mit den Gesetzen der
zum Ausgang zu beschreiben und zu optimieren.
Booleschen Algebra vereinfacht werden.
Dazu stellt man zuerst eine Wahrheitstabelle
auf, die alle moglichen Zustande der Eingangs- Losung:
variablen und die sich dabei ergebenden Aus-
gangszustande enthalt. Greift man sich nun die Das Beispiel enthalt vier Vollkonjunktionen, bei de-
nen der Ausgang 7 = 1 wird. Ihre Oder-Verkniipfung
Terme (Gleichungsausdruck) heraus, die ein
fiihrt in folgenden Schritten zur ODER-Normalform:
positives Ergebnis liefern (im nachfolgenden
Beispiel Y= 1), kommt man zur ODER-Nor- Y= (A B' C D) -\- (A B ' C' D) + (A • B C • D)
malform: Der Ausgang Y ist nur dann wahr, -\-(ABCD) (11-33)
wenn eine bestimmte Konjunktion der Ein-
Zur Verdeutlichung wurden in dieser ODER-Nor-
gange vorliegt. Wenn mehrere dieser Konjunk- malform die vier Vollkonjunktionen in Klammern ge-
tionen den Ausgang 7 wahr werden lassen kon- setzt. Nach dem Distributivgesetz kann die Variable
nen, werden diese mit Disjunktionen verbun- D ausgeklammert werden, da sie in alien Vollkon-
den. Da die Wahrheitstabelle alle Eingangs- junktionen vorhanden ist:
variablen wiedergibt, sind die Konjunktionen
Y= ((A B Q + (A B • Q + (A • B • Q
stets Vollkonjunktionen. So kann zusammenfas-
send gesagt werden: + {ABC))D (11-34)
Distributivgesetz '
Die ODER-Normalform besteht aus Voll- In den verbleibenden Konjunktionen kann die Varia-
konjunktionen, die durch Disjunktionen ble C durch das Absorptionsgesetz (C + C = 1) elimi-
(ODER) miteinander verbunden sind. niert werden, ebenso die Variable A.
Y=((A'B) + (AB))D.
Dabei kann die ODER-Normalform auch nur ' ^ Absorptionsgesetz.
aus einer einzigen Vollkonjunktion bestehen,
wenn kein weiterer Term ein positives Ergebnis Es wird
am Ausgang Hefert. Y=B'D. (11-35)

Tabelle 11-18. Konjunktionstabelle zu Beispiel 11.3-1.

Eingangsvariablen Ausgangsvariable Vollkonjunktionen


A B c D Y
0 0 0 0 0
0 0 0 1 0
0 0 1 0 0
0 0 1 1 0
0 1 0 0 0
0 1 0 1 1 ABC' D
0 1 1 0 0
0 1 1 1 1 AB'C'D
0 0 0 0
0 0 1 0
0 1 0 0
0 1 1 0
1 0 0 0
1 0 1 1 ABCD
1 1 0 0
1 1 1 1 A BCD
11.3 Grundlagen des Booleschen Algebra 431

Die zunachst sehr kompliziert erscheinende ODER- chungsseiten in derselben Weise behandelt wer-
Normalform fur die Wahrheitstabelle laBt sich nach den. So gilt beispielsweise:
der Anwendung der algebraischen Regeln nach Boole
durch eine UND- Verknupfung der Variablen B und D Y= A B Konjunktion,
realisieren. Y= A • B Konjunktion auf beiden
Seiten negiert.
Anwendung der De-Morganschen Gesetze
Y= A-\- B Disjunktion nach dem zwei-
Die De-Morganschen Gesetze sind ein wichti- ten De Morgan-Gesetz.
ges Hilfsmittel in der Schaltalgebra bei der Op- Soil die Ausgangsvariable (hier Y) nicht negiert
timierung von Gleichungen, in denen lange Ne- werden, so kann durch die doppelte Negation
gationen vorkommen. Diese Negationen kon- (Boolesches Gesetz nach Gleichung (11-30)) der
nen aufgelost werden und ermoghchen auf
Wert einer Seite ebenfalls erhalten werden. Zur
diese Weise Umrechnungen von NOR-Schal-
Anwendung der De Morganschen Gesetze kann
tungen und NAND-Schaltmgen (NOR = NOT
diese nun aufgebrochen werden:
- O R , NAND = N O T - A N D , d.h. die Aus-
gange der Basisverkniipfungen OR und AND Y=A B Konjunktion,
sind negiert). Durch eine einfache Wahrheits- Y A~ • B doppelte Negation,
tabelle laBt sich die Giiltigkeit der Gesetze be- nichts hat sich geandert,
weisen. Gl. (11.36) zeigt den Beweis des 1. De-
Y= Ai- B Disjunktion nach Aufbrechen
Morganschen Gesetzes fur zwei Eingangsvaria-
einer Negation und Anwen-
blen und Gl. (11-37) fur das 2. De-Morgansche
dung des zweiten De Morgan-
Gesetz.
schen Gesetzes.
A B AB AB A B A+B Diese grundlegende Anwendung der De-Mor-
ganschen Gesetze hat in der Praxis eine groBe
0 0 0 1 1 1 1 Bedeutung. Damit kann ein Gleichungssystem
0 1 0 1 1 0 1 an die gegebenen Voraussetzungen angepaBt
1 0 0 1 0 1 1 werden. Diese Randbedingungen konnen sein
1 1 1 () 0 0 () - Vorgabe der Bauelemente (Konjunktion oder
Disjunktion),
- Vorgabe der Eingangsvariablen (negiert oder
A ~B = A-\-B nicht negiert),
- Vorgabe der Ausgangsvariablen (negiert
(11-36) oder nicht negiert).
Bei der Berucksichtigung solcher Vorgaben
wird man oft feststellen, daB nicht immer die
A B A^B A^B A B AB Minimallosung realisierbar ist.
0 0 0 1 1 1 1 Im nachsten Beispiel wird auf diese Randbedin-
0 1 1 0 1 0 0 gungen nochmals eingegangen.
1 0 1 0 0 1 0
1 1 1 0 0 0 0 Beispiel
113-2: Es soil die Gleichung Z = {E •F)^-{A + B + C)
mit Hilfe der Gesetze von De Morgan in eine entspre-
chende Gleichung umgewandelt werden, die nur noch
A'B = A-^B Konjunktionen enthalt.
(11-37) Losung:

Bei der Anwendung der Gesetze von De Mor- Z = {EF) + {A + B+C) doppelte Negation,
gan in einer Gleichung konnen so Konjunktio- Z = ( £ F ) ( ^ + 5+C) zweites De Morgansches
nen in Disjunktionen und umgekehrt umge- Gesetz,
wandelt werden. Beim Einfugen von Nega- Z= {EF){ABQ zweites De Morgansches
tionen ist darauf zu achten, daB stets beide Glei- Gesetz.
432 11 Grundlagen der digitalen Schaltungstechnik

11.3.3 Entwicklung einer Schaltung der Zahl 4 lassen sich die beiden Darstellungs-
mit Hilfe der Booleschen Algebra weisen nicht unterscheiden. Betrachtet man die
positiven und negativen Zahlen des Zweier-
In diesem Abschnitt wird gezeigt, wie mit Hilfe komplements etwas genauer (vergleiche hierzu
der Booleschen Algebra eine einfache Schal- Tabelle 11-7), so stellt man fest, daB die Bits
tung entwickeh werden kann. Die Aufgabe be- vom niederwertigsten Bit her (dies ist stets das
steht darin, eine Verkniipfung zu finden, die das rechte Bit einer Dualzahl) bis einschlieBHch der
Zweierkomplement einer positiven Zahl in Ab- ersten ,,1" beibehalten werden und der Rest
hangigkeit des Vorzeichen-Bits aus der Vorzei- invertiert wird. Diese Tatsache soil im folgen-
chen-Betrags-Darstellung bildet (zur Bedeutung den ausgenutzt werden, um eine Schaltung zu
des Zweierkomplements s. Abschn. 11.1.4.1, entwickeln, die das Zweierkomplement bildet.
negative Zahlen). Sie mu6 die erste ,,1" vom linken Bit aus erken-
Die Darstellung negativer Zahlen, wie wir sie nen und die nachfolgenden Bits durch einen
bei digitalen Anzeigen sehen, erfolgt in der steuerbaren Inverter in ihre umgekehrte Lage
Vorzeichen-Betrags-Darstellung, kurz VBD schalten. Die Vorteile einer solchen Schaltung
genannt. Die Zweierkomplement'Darstellung liegen darin, daB sie sehr schnell (2 bis 3 Gatter-
(ZKD) von negativen Zahlen erfolgt vorwie- laufzeiten, siehe nachfolgende Schaltungsbei-
gend in Rechenwerken, da in diesem Fall die spiele) und durch die Verwendung von Stan-
Subtraktion auf eine Addition zuriickgefuhrt dard-Bauteilen preisgiinstig ist. Der Nachteil
werden kann (Abschn. 11.1.4.1). Die Bildung besteht darin, daB bei sehr groBen Wortbreiten
des Zweierkomplements aus der Vorzeichen- (> 16 Bit) der Hardwareaufwand und der da-
Betrags-Darstellung erfolgt durch Invertieren mit verbundene Platzbedarf enorm ansteigen.
des Betrags und der Addition von eins. Dies Die Schaltung wird folgendermaBen entwik-
bedeutet einen weiteren Rechenschritt. Im fol- kelt: Wenn man zunachst von obigen 4 Bit brei-
genden soil aufgezeigt werden, wie dieser zu- ten Zahlen ausgeht, stellt man fest, daB das
satzliche Rechenschritt mit Hilfe eines sequen- rechte Bit (niederwertigste Bit) zu keiner Zeit
tiellen Netzwerkes umgangen werden kann. einer Inversion unterliegt, sondern lediglich die
Hierzu sind in Tabelle 11-19 einige Zahlen in nachfolgenden Bits. Also wird das niederwer-
der Vorzeichen-Betrags- und Zweierkomple- tigste Bit beim Ubergang von der Vorzeichen-
ment-Darstellung gegeniibergestellt. Betrags-Darstellung in die Zweierkomplement-
Wahrend sich bei der Vorzeichen-Betrags-Dar- Darstellung stets iibernommen, so daB gilt:
stellung nur das Vorzeichenbit andert, wandelt
sich bei der Zweierkomplement-Darstellung ^0 = D0. (11-38)
das gesamte Halbbyte (Nibbel). Lediglich bei Da das Vorzeichenbit bei der Vorzeichen-Be-

Tabelle 11-19. Gegeniiberstellung einiger Zahlen in der Vorzeichen-Betrags- und Zweierkomple-


ment-Darstellung.
Vorzeichen-Betrags-Darstellung Zweierkomplement-Darstellung
(VBD) (ZKD)
Dezimalzahl
+ - + -
4 0 1 0 0 0 1 0 0
-4 1 1 0 0 1 1 0 0

6 0 1 1 0 0 1 1 0
-6 1 1 1 0 1 0 1 0

7 0 1 1 1 0 1 1 1
-7 1 1 1 1 1 0 0 1

Betrag Betrag Komplement

+ Vorzeichen + Vorzeichen
11.3 Grundlagen des Booleschen Algebra 433

Tabelle 11-20. Ubergangstabelle fur die Ausgangsvariablen Al und A2.


negative Zahlen in der

Vorzeichen-Betrags-Darstellung Zweierkomplement-Darstellung

D3 D2 D^ DO Dezimalwert A3 A2 A^ >40

0 0 1 -1 1 1 1
0 1 0 -2 1 1 0
0 1 1 -3 1 0 1
1 0 0 -4 1 0 0
1 0 1 -5 0 1 1
1 1 0 -6 0 1 0
1 1 1 -7 0 0 1

D3, yA3 = Vorzeichenbit A2 = A^ =


AO=DO
f(D0,D1,D2) f(D0,D1,D2)

trags-Darstellung bereits invertiert ist (also auf nen der Eingangsvariablen DO bis D2 ableiten.
1), wird dieses ebenfalls bei der Zweierkomple- Die ODER-Normalform ergibt fiir ^42
ment-Darstellung iibernommen:
A2-. (DO • Dl • Dl) + (DO • Dl • Dl) + (DO • Dl • Dl) +
A3 = D3. (11-39) + (DO • Dl • D2)
A2-- ((DO • Dl) + (DO • Dl) + (DO • Dl)) • D2 + (DO • Dl) • D2
Zur Aufstellung der Verknupfungsgleichungen
fur Al und A2 ist die obige Verkniipfungs-
Tabelle fiir die Zahlen 0 bis 7 (Tabelle 11-20) I Assoziativgesetz
notwendig, die alle Vollkonjunktionen der Ein- Al = (DO + Dl) • D2 + (DO • Dl) • D2•— Absorptionsgesetz
gangsvariablen DO bis D3 enthalt. (11-42)
Mit Hilfe dieser Tabelle lassen sich nun die bei- Auch hier ergibt sich eine EXOR-Verkniipfung
den ODER-Normalformen fiir die Ausgangs- zwischen D2 und der Verkniipfung von DO und
variablen Al und A2 erstellen. Das Vorzeichen- Dl. Doch dazu muB in der zweiten Vollkon-
bit D3 bzw. A?> wird dabei auBer acht gelassen, junktion mit Hilfe von den De Morganschen
da es als konstant angesehen werden kann und Gleichungen zuerst folgende Umformung durch-
somit keinen Beitrag zu der Verkniipfungsglei- gefuhrt werden:
chung liefert. Mit Hilfe der Booleschen Algebra Al = (DO + Dl) • D2 + (DO • Dl) • D2
ergeben sich fiir Al die folgenden vier Vollkon-
junktionen: .42 = (DO + Dl) • D2 + (DO + Dl) • D2
^2 = (D0 + D l ) e D 2 . (11-43)
Al = (DO • M _ ^ ) + (DOjJDl • D2) +
+ (DO • DT • D2) + (DO • Dl • D2) In diesem Beispiel wird deutlich, daB eine Zu-
sammenfassung zu einer Exklusive-ODER-Ver-
Al = (DO • DT) • (D2 + D2) + (DO • Dl) • (D2 + D2)
kniipfung stets die Komplemente der gesamten
= 1 Terme voraussetzt und nicht etwa die Kom-
L Absorptionsgesetz J
plemente der einzelnen Variablen.
yll=(DODl) + (DODl). (11-40)
Bild 11-10 zeigt die reahsierte Schaltung, die
Diese Verknupfung stellt nach Gl. (11-24) eine durch die Gleichungen (11-39), (11-40), (11-41)
EXCLUSIVE-ODER-Verkniipfung(Antivalenz) und (11-43) beschrieben ist.
dar, da der Ausgang Al nur dann wahr wird,
wenn DO und Dl voneinander verschieden sind: Zur Ubung
iJ i 1.3-1: Welche Gesetze beweisen, daB Disjunktion
^l=DOeDl. (11-41) und Konjunktion zusammenhangen?
In gleicher Weise laBt sich die Verkniipfung der U 11.3-2: In einer Steuerschaltung werden die Varia-
Ausgangsvariablen A2 aus den Vollkonjunktio- blen A bis E in folgender Weise verkniipft:
434 11 Grundlagen der digitalen Schaltungstechnik

11.4 Minimierung
nach Karnaugh-Veitch
11.4.1 Grundlagen
Eine grafische Moglichkeit der Minimierung
von Gleichungen in der Schaltalgebra haben
Karnaugh und Veitch gefunden (oft auch kurz
„ K V " genannt). Die Vereinfachung beruht auf
den Gleichungen der ODER-Normalform (Ab-
I J •. J schn. 11.3.2). Dabei wird jede mogliche Voll-
Bild 11-10. Zweierkomplement-Schaltmg nach Gl konjunktion in einem Feld dargestellt.
(11-41) und (11-43). Ein Karnaugh-Veitch-Diagramm fiir eine Va-
riable besteht aus ihrem positiven Wert und
dem Inversen. Eine Minimierung kann hier
a) AB'CDE nicht vorgenommen werden. Bei zwei Variablen
b) A'DE sind vier VoUkonjunktionen moglich, so daB
c) A'B+C'DE das Diagramm auch vier Platze zur Verfugung
d) AB'CDE stellen muB. Nennt man die Eingangsvariablen
e) A + B+C + D + E A und B, so ergeben sich die mogHchen Kon-
f) (A + B)_(C + D + E) junktionen nach Tabelle 11-21, oben. Das Kar-
g) ( ^ - ^ O C i ) - ^ ) naugh-Veitch-Diagramm ist in diesem Fall ein
h) (AB'CD) + E. Quadrat mit vier Feldern (Bild 11-12).

Bei welchen Verkniipfungen handelt es sich um Voll-


konjunktionen der Eingangsvariablen? A'B AS

U 11.3-3: Fiir eine 2 Bit breite Dualzahl (DO und D\)


soil ein „Prufbitgenerator" entwickelt werden. Dieser
soil die gerade Erganzung der Zahlen bilden (vgl. AB AB
Bild 11-12. Karnaugh-Veitch-
Abschn. 11.2 Kodes). a) Stellen Sie die tJbergangs- Diagramm fiir zwei Variablen.
tabelle fiir das Priifbit P auf. b) Welche ODER-Nor-
malform ergibt sich fiir P? c) Durch welche Verkniip-
fung laBt sich die ODER-Normalform vereinfachen? Die VoUkonjunktionen in diesem Diagramm
sind lediglich Platzhalter. An ihre Stelle tritt
U 11.3-4: Es soil eine Schaltung entwickelt werden, bei der Schaltungssynthese (Entwicklung von
die die Primzahlen 3, 5, 7, 11 und 13 eines Halbbytes Schaltungen) eine , , 1 " , wenn die Konjunktion
erkennen kann. a) Stellen Sie dazu die Ubergangs- zutrifft, Oder eine „0", wenn die Konjunktion
tabelle fiir das Primzahlenbit PZ auf! b) Wie lautet nicht zutrifft. Die Eingangsvariablen werden da-
die daraus abgeleitete ODER-Normalform? c) Ver- bei als Koordinaten an den Rand des Dia-
einfachen Sie diesen Ausdruck mit Hilfe der Boole- gramms geschrieben. Die Zuordnung der Va-
schen Algebra, d) Zeichnen Sie die Schaltung mit den riablen zu den Koordinaten eines Karnaugh-
Grundelementen nach Bild 11-11 aus der Booleschen Veitch-Diagramms kann dabei beliebig erfol-
Algebra.
gen; ledigUch die negierte und die nicht negierte
Form einer Variablen miissen an derselben
Seite stehen, wie Bild 11-13 zeigt.
Inverter UND ODER EXOR-Gatter A A B B

B
A—|T]>-Y ^=S-- ^lEih- ^=E[-- A

B A
Y=A Y= AB Y = A+B Y = A©B

Bild 11-11. Die Booleschen Grundelemente. Bild 11-13. Eingangsvariablen als Koordinaten.
11.4 Minimierung nach Karnaugh-Veitch 435

Tabelle 11-21. tJbersicht iiber die moglichen Vollkonjunktionen von 2, 3 und 4 Eingangsvariablen.

Anzahl der
Eingangsvariable moglichen
1 \ k Vollkonjunktionen:
r

2 Eingangsvariable A B 1 C D
A B C D
A B c D
A B c D
4
3 Eingangsvariable A B c D
A B c D
A B c D
A B c D
8
4 Eingangsvariable A B c D
A B c D
A B c D
A B c D
A B c D
A B c D
A B c D
A B c D 16

Das Karnaugh-Veitch-Diagramm reprasentiert A A


somit alle zutreffenden Vollkonjunktionen in
graphischer Form. Ziel ist es, durch die Zusam- B 1 0
menfassung von Vollkonjunktionen ein be-
stehendes Gleichungssystem zu vereinfachen.
B 1 0
Voraussetzung dafur ist die Problemerfassung Bild 11-14. Ersetzen der
in der ODER-Normalform. Z
wahren Vollkonjunktionen
durch eine ,,1".
Beispiel
11.4-1: Ein Zustand Z soil nur dann eingenommen
werden, wenn die Eingangsvariable A wahr und die 1. Es durfen nur Felder zusammengefaBt wer-
Eingangsvariable B nicht wahr ist oder wenn beide den, die direkt aneinanderstoBen, also eine
Eingangsvariablen wahr sind. Es soil nach Kar- gemeinsame Seite haben. (11-45)
naugh-Veitch vereinfacht werden.
2. Es konnen nur 2, 4, 8, allgemein 2" {ne N)
Losung: Felder zusammengefaBt werden. (11-46)
Die ODER-Normalform ergibt sich zu
3. Der Inhalt dieser Gruppe ergibt sich aus
Z= (AB)-{-(AB). (11-44) den Koordinaten des Karnaugh-Veitch-Dia-
Alle zutreffenden Vollkonjunktionen in Gl. (11-44) gramms. Alle Koordinaten, die dabei sowohl
werden im KV-Diagramm durch eine ,,1" dargestellt, negiert als auch nicht negiert auftreten, kon-
der Rest wird mit „0" aufgefiillt. Damit wird aus Bild nen entfallen. (11-47)
11-13 die Darstellung in Bild 11-14.
Welche Gleichung dargestellt wird, vermerkt man 4. Die Ausgangsvariable wird durch die ODER-
durch die Ausgangsvartable am Rand des KV-Dia- Normalform aller Gruppen dargestellt.
gramms, beispielsweise rechts unten. Zur Verein- (11-48)
fachung der Gleichung konnen nun benachbarte Fel-
der zusammengefaBt werden. Dabei gelten folgende Die Anwendung dieser Regeln auf Beispiel 11.4-1
Regeln: zeigt Bild 11-15.
436 11 Grundlagen der digitalen Schaltungstechnik

/\ A
f A
t 0
B

Bild 11-15. Zusammenfas-


1 0 B
V f sung von zwei Vollkonjunk-
tionen zur Ausgangsvaria-
z blen Z. c c c
Bild 11-17. Karnaugh- Veitch-Diagramm Jur drei Va-
Die Zusammenfassung in Bild 11-15 zeigt, daB die riable.
Zweiergruppe komplett innerhalb der Koordinate A
liegt; die Variable B aber sowohl negiert als auch
Diagramms. Fiir die Vereinfachung gelten fol-
nicht negiert auftritt. B fallt somit nach (11-47) bei
der Zusammenfassung heraus, und Z aus Gl. (11-43) gende erganzende Regeln:
vereinfacht sich zu
5. Die groBte Gleichungsvereinfachung
Z^A. (11-49)
erhalt man, indem man die Gruppen so
groB wie moglich bildet. (11-52)
Beispiel
6. Die erweiterte Nachbarschaftsbedin-
11.4-2: Es soil die ODER-Normalform von gung erlaubt auch das Zusammenfas-
M={AB) + {AB) + {AB) (11-50) sen von Gruppen iiber den Rand hin-
weg. (11-53)
nach Karnaugh-Veitch vereinfacht werden. Dazu
stellt man das KV-Diagramm gemaB Bild 11-16 auf,
das drei VoUkonjunktionen enthalt. A A1
Die VoUkonjunktionen konnen in zwei Gruppen zu-
sammengefaBt werden. Die Vereinfachung der ODER-
B 1 1
Normalform ergibt schlieBlich das Ergebnis
M = A + B. (11-51)
B
A A

B III 0 c c c
w i i
Bild 11-18. Erweiterte Nachbarschaftsbedingung.

B H Bild 11-16. Vereinfachung Bild 11-18 zeigt die Anwendung der erweiterten
nach Karnaugh-Veitch fUr Nachbarschaftsbedingung auf zwei Randfelder
M
den Term M.
eines Karnaugh-Veitch-Diagramms fur drei Va-
riable.
Fiigt man die beiden Kanten, die durch die er-
weiterte Nachbarschaftsbedingung eine Zusam-
11.4.2 Karnaugh-Veitch-Diagramm menfassung erlauben, zusammen, so stellt das
fiir drei Eingangsvariable Karnaugh-Veitch-Diagramm den Mantel eines
ZyHnders dar. Das dreidimensionale Modell
Bei drei Eingangsvariablen (z. B. A, B und Q zeigt Bild 11-19. Dabei wird deutlich, daB diese
sind acht VoUkonjunktionen moglich, die durch Randfelder auf dem Zylindermantel mit einer
ein Karnaugh-Veitch-Diagramm mit acht Fel- Seite aneinanderstoBen, woraus sich die erwei-
dern reprasentiert werden (Bild 11-17). terte Nachbarschaftsbedingung aus der ersten
Die VoUkonjunktionen, die durch dieses Dia- Karnaugh-Veitch-Regel (11-45) ableiten laBt.
gramm dargestellt werden, sind ebenfalls in Bei der Zusammenfassung von Koordinaten ist
Tabelle 11-21 zusammengestellt. Sie ergeben darauf zu achten, daB in einer Reihe nur eine
sich ebenfalls aus den Schnittpunkten der geradzahUge Anzahl von Feldern zusammenge-
Koordinaten am Rand des Karnaugh-Veitch- faBt werden kann.
11.4 Minimierung nach Karnaugh-Veitch 437

c c c I ^I
Bild 11-21. Karnaugh- Veitch-Diagrammfiirdie Aus-
gangsvariable P.

Beispiel
11.4-4: Der Ausgang Q wird durch nachfolgende
ODER-Normalform beschrieben. Sie soil mit Hilfe
Bild 11-19. Zylindermodell des Karnaugh-Veitch- eines Karnaugh-Veitch-Diagramms vereinfacht wer-
Diagramms fur drei Eingangsvariablen. den.
Q = {A'BC) + {AB'C) + {ABC)
+ {ABC). (11-57)
7. Eine Bildung der Gruppen iiber Ecken L5sung:
ist nicht zulassig! (11 -54)
Die im Karnaugh-Veitch-Diagramm nach Bild 11-22
eingetragenen Vollkonjunktionen lassen sich zu zwei
Bild 11-20 zeigt die Zusammenfassung einer Gruppen zusammenfassen, die schlieBlich folgendes
Ergebnis liefern:
nicht zulassigen Gruppe.
An zwei weiteren Beispielen soil der Umgang Q = {BC) + {B'Q.
mit dem KV-Diagramm fur 3 Eingangsvaria- Nach Gl. (11-24) handelt es sich dabei um eine Exklu-
blen gezeigt werden. sive-ODER-Verkniipfung, die nach Gl. (11-25) um-
geformt werden kann zu
Q-=^B@C. (11-58)
1 1 1

c
1

c c
Bild 11-20. Unzuldssige Zusammenfassung iiber Eck.
1m
1 1
•1
Beispiel c Q
c (
11.4-3: Der Ausgang P ist eine Funktion der Ein- Bild 11-22. Karnaugh- Veitch-Diagramm fiir die Aus-
gangsvariablen A, B und C. Fur P gilt die ODER- gangsvariable Q.
Normalform:
P = {ABC) + (ABQ + (ABC) + (ABC) 11.4.3 Karnaugh-Veitch-Diagramm
+ (ABC)-\-{ABC). (11-55) fiir vier Eingangsvariable
Vereinfachen Sie nach Karnaugh-Veitch.
Jede weitere Eingangsvariable hat eine Verdop-
Losung: pelung der Karnaugh-Veitch-Koordinaten zur
Folge. Bei vier Eingangsvariablen konnen so-
In das Karnaugh-Veitch-Diagramm nach Bild 11-17 mit insgesamt 16 Vollkonjunktionen gebildet
eingetragen ergeben sich fur Gl. (11-55) die Moglich- werden (siehe auch Tabelle 11-21, Variable A
keiten, wie sie in Bild 11-21 dargestellt sind.
bis D). Allgemein laBt sich daraus fiir die An-
Die Zusammenfassung vereinfacht Gl. (11-55) zu
zahl der benotigten Platze/folgende Beziehung
P = B + C. (11-56) ableiten:
438 11 Grundlagen der digitalen Schaltungstechnik

Die Anzahl der Platze/in einem Karnaugh-


Veitch-Diagramm ist gleich der Anzahl der A B\
moglichen Vollkonjunktionen und berech-
net sich aus der Anzahl n der Eingangsvaria-
blenzu/=2". (11-59)
C D

Die Anordnung der Koordinaten bei einem KV4x4


Karnaugh-Veitch-Diagramm mit vier Varia- Kugeldarstellung
blen (oft auch 4 x 4-Karnaugh-Veitch-Dia-
Bild 11-24. Zusammenfiihrung der Eckfelder bei der
gramm genannt) erfolgt nach Bild 11-23. Kugeldarstellung.
A A

IIIB^^^^ '^mi
B\
1 >
1 1
1

B\
mmm
111III

c C C
Bild 11-23. Karnaugh-Veitch-Diagramm Jur vier Va- Bild 11-25. Nachbarschaftsbedingung jur Variable
viable. an den Kanten.

Fiir die Abbildung des Diagramms fur vier Va-


riable in der Ebene gilt ebenfalls die erweiterte 1 1
Nachbarschaftsregel. Welche Uberlegungen da-
bei angestellt werden, laBt sich wiederum am
besten am dreidimensionalen Modell darstel-
len: Fiir vier Variable ergibt sich ein Kugel-
modell, auf dessen Riickseite sich die Ecken des
4 X 4-Diagramms aus der Ebene treffen und so-
mit in die erweiterte Nachbarschaftsregel einbe-
ziehen lassen. Bild 11-24 zeigt deutlich, daB eine
1
•111
diagonale Zusammenfassung von A—D oder
B — C nicht moglich sein kann, da sie keine
gemeinsamen Kanten haben (VerstoB gegen Bild 11-26. Anwendung der Nachbarschaftsbedingung
auf die vier Eckfelder. Die Zusammenfassung erfolgt
(11-45)).
nicht diagonal.
Dariiber hinaus laBt sich die erweiterte
Nachbarschaftsregel (11-53) ebenfalls anwen-
den. Sie kann hier iiber alle vier Kanten des
Die Zusammenfassung erfolgt nicht iiber die
KV-Diagramms angewandt werden, wie Bild
Diagonale. Demnach konnen zwei Vollkon-
11-25 zeigt.
junktionen, die sich diagonal gegeniiber-
Die Erkenntnis aus Bild 11-24 fiihrt schlieBUch stehen, nicht zusammengefaBt werden. Es
zur Ausweitung der erweiterten Nachbar- laBt sich jedoch eine Zweiergruppe bilden,
schaftsbedingung auf die Eckfelder. Diese kon- wenn die Vollkonjunktionen sich in einer
nen entsprechend Bild 11-26 zusammengefaBt Reihe befmden. (11-60)
werden.
11.4 Minimierung nach Karnaugh-Veitch 439

A A

LJ
\\Am - ^ •
^^ ^ ^ " ^ . - ^ ^

B ^.^---^ ^^^ ^^,^-^ ^^^^


B\ ^ ^^.-^ ^
' ^^-^ ^^^ ^,„.-'-^
^^.^^ ^^ _.
E
^ ^ ^^
^ ^^.^^
^ ^..-"^^^ ^
E " ^-^^^ D

B\
c c c
1 1 Bild 11-28. Dreidimensionales Modell eines Kar-
1 naugh- Veitch-Diagramms fur funf Variable.

A A A A
Bild 11-27. Zusammenfassung der zwei moglichen
Terme. D
B\
Die Aussage in (11-60) verdeutlicht Bild 11-27.
In diesem KV-Diagramm sind nur drei Eck- \D

felder belegt. Es konnen nur die Variablen, die


in einer Reihe liegen, zusammengefaBt werden.
D
Die nach Bild 11-27 erlaubten Zusammenfas-
sungen, hier G^ und G2 genannt, ergeben sich C C C C C C
schlieBUch zu m m
G^ = A'C- D und Bild 11-29. Karnaugh- Veitch-Diagramm fur funf Va-
G2 = BCD. riable in einer Ebene gezeichnet.

11.4.4 Karnaugh-Veitch-Diagramm spiel_die obere Ebene), die andere die Varia-


fiir fiinf Eingangsvariable ble E' (in diesem Beispiel die untere Ebene).
Diese Art der KV-Darstellung ist fur die Erstel-
Fiir das KV-Diagramm fur funf Variable wer- lung und die Minimierung von Schaltfunktio-
den 32 (=2^) Platze, entsprechend 32 mog- nen nicht geschickt, da die hintere Halfte ver-
hchen Vollkonjunktionen, benotigt. Mit wach- deckt ist. Wohl wird uns aber diese Darstellung
senden Variablenzahlen werden die KV-Dia- sehr hilfreich sein, wenn es um die Erweiterung
gramme immer komplexer und die Vorstellung der Moglichkeiten fiir die Zusammenfassung
im Raum immer schwieriger. Wollte man das geht.
KV-Diagramm fur fiinf Variable als eine ge- Ublicherweise werden die KV-Diagramme fur
schlossene Flache darstellen, ahnlich der Ku- fiinf Variable nebeneinander gezeichnet (Bild
gel, die das dreidimensionale Modell des KV- 11-29) und durch den Zusatz der funften Varia-
Diagramms fur vier Variable ist, so miiBten wir ble E und E bewertet.
eine vierte Dimension hinzufugen. Aus diesem Neben den Regeln 1 bis 7 gibt es hier eine wei-
Grund fuhrt man die hoheren KV-Diagramme tere Moglichkeit, eine giiltige Gruppe zu bil-
mit mehr als vier Variablen auf die Flachendar- den: Die Nachbarschaftsbedingung gilt auch
stellung des 4 x 4-Diagramms zuriick. fur Felder, die in Bild 11-28 iibereinander liegen.
Bei 32 Vollkonjunktionen sind demnach zwei Das bedeutet, daB nun auch in der dritten Di-
dieser Tabellen notwendig. Um die weiteren mension, also in z-Richtung, zusammengefaBt
Moglichkeiten der Zusammenfassung zu ver- werden kann:
stehen, kann man sich die beiden Tabellen iiber-
einandergeschichtet vorstellen (Bild 11-28).
8. Die Nachbarschaftsbedingung wird
Die neu hinzugekommene Variable E teilt sich auch von Feldern, die iibereinander
dabei auf die beiden Ebenen auf: eine der Ebe- liegen, erfullt. (11-61)
nen reprasentiert die Variable E (in diesem Bei-
440 11 Grundlagen der digitalen Schaltungstechnik

Dick umrandet ist in Bild 11-31 die Zusammenfas-


sung iiber die beiden Ebenen E und E, also die Zu-
sammenfassung von Vollkonjunktionen, die iiberein-
anderliegen und somit gleiche Koordinaten haben.
Das Ergebnis ist schheBlich
T={AD'E) + {ACD). (11-63)

11.4.5 Karnaugh-Veitch-Diagramm
C C C
fjir sechs und mehr Eingangsvariable
Bild 11-30. Zusammenfassung von vier Vollkonjunk- Das KV-Diagramm fur sechs Variable sei als
tionen in x- und z-Richtung. letztes ausfuhrliches Beispiel genannt. Die hier-
bei abgeleiteten Regeln lassen sich auch auf alle
A A A A
hoheren KV-Diagramme libertragen.
D Bild 11-32 zeigt eine dreidimensionale Abbil-
dung der 64 notwendigen Felder. Fiir die Auf-
V
[i| losung dieser Struktur ist es vorteilhaft, die ein-
1 1 \D zelnen Ebenen durchzunumerieren und sie nach
1 1
k I^J der funften und sechsten Variable F und E auf-
B\ LUj zuzeichnen. Bild 11-33 zeigt das zweidimen-
sionale Karnaugh-Veitch-Diagramm zu Bild
c c cA 11-32.
c c c
\J2 m
Bild 11-31. Karnaugh-Veitch-Diagramm Jur die Va-
riable T in der Ebene.

Verdeutlicht wird dies in Bild 11-30 mit einem


Schnitt durch die beiden Ebenen. Hierbei sind
als Beispiel vier Vollkonjunktionen eingezeich-
net, zusammengefaBt sowohl in x- als auch in
z-Richtung.
Fur das KV-Diagramm nach Bild 11-29 bedeu-
tet dies, daB Elemente, die in der linken und
rechten Tafel dieselben Koordinaten (Positio- c c c
nen) haben, ebenfalls zusammengefaBt werden Bild 11-32. Dreidimensionales ModeII eines Kar-
konnen. An einem einfachen Beispiel soil dies naugh-Veitch-Diagr amms fUr sechs Variable.
gezeigt werden.
Die Aussagen, die fur das Zweidimensionale
Beispiel gelten, konnen auch in der dritten Dimension
11.4-5: Die Ausgangsvariable T wird durch die fol- angewandt werden: Die Nachbarschaftsbedin-
genden sechs Vollkonjunktionen der Eingangsvaria- gung nach Kegel 8 (Gl. (11-61)) wird durch die
ble A bis E beschrieben: Kegel 6 (Gl. (11-53)) fiir die virtuelle dritte Di-
T={AB_C_DE)^{AB^CDE)^- mension erweitert:
(AB'CDE)-\-{ABCD'EJ-\-
{ABCDE) + {ABCD'E). (11-62) 9. Auch eine Zusammenfassung der Voll-
Vereinfachen Sie nach Karnaugh-Veitch. konjunktionen liber den Rand hinweg
in z-Richtung (nach oben oder unten)
Losung: ist zulassig. (11-64)
Wird Gl. (11-62) in das KV-Diagramm eingetragen,
so sind die Zusammenfassungen nach Bild 11-31 Bild 11-34 zeigt die Zusammenfassung in z-
moglich. Richtung am dreidimensionalen Modell. Die
11.4 Minimierung nach Karnaugh-Veitch 441

A
[ziCEi m m
A A A A
mm mm
A A A

D\
B\
MM
\D\
I 1 I
B\
D\

D\
\B\

iM
\D

I^J
\B\
D

c c c c c c c c c c c c
mm mm mm mm
Bild 11-23. Karnaugh-Veitch-Diagramm fur sechs
Variable in einer Ebene.
Zusammenfassung: K = A*D*C
m
Bild 11-35. Zusammenfassung nach Bild 11 -34 in der
Ebene.

die Variablen E und F und den daraus m5g-


lichen Vollkonjunktionen:
1. Vollkonjunktion: E • F
2. Vollkonjunktion: E • F
3. Vollkonjunktion: E • F
4. Vollkonjunktion: E • F.
Diese Vollkonjunktionen sind bei der Zusam-
menfassung in der z-Richtung zu beachten. Da
die Variable K iiber alle vier Ebenen zusam-
mengefaBt wurde, entfallt die Gewichtung
Bild 11-34. Beispiel einer Zusammenfassung Uber durch die Vollkonjunktionen von E und F
alle vier Ebenen (in z-Richtung). (beide kommen sowohl negiert als auch nicht
negiert vor, s. Gl. (11-47)). Dasselbe trifft auch
fur die Variable B zu, so daB sich die Ausgangs-
beiden Vollkonjunktionen in jeder Ebene haben variable K auf den Ausdruck
dieselben Koordinaten, bezogen auf A, B, C
undD. K = ADC
Die Projektion von Bild 11-34 in die Ebene er- vcreinfachen laBt. K wird also durch eine Kon-
gibt nun das zweidimensionale Modell nach junktion {keine Vollkonjunktion) von drei Varia-
Bild 11-35. Jedes der Felder reprasentiert eine blen beschrieben.
Vollkonjunktion aus sechs Variablen, so daB die Die Vereinfachung von ODER-Normalformen
ODER-Normalform der Ausgangsvariablen K mit Hilfe der Karnaugh-Veitch-Diagramme un-
in diesem Beispiel aus acht Vollkonjunktionen terliegen bestimmten GesetzmaBigkeiten. Wie
zu sechs Variablen besteht. aus obigen Beispielen hervorgeht, ist das Ergeb-
Jedes der vier 4 x 4-KV-Diagramme in Bild nis einer Zusammenfassung stets eine Konjunk-
11-35 erhalt eine zusatzliche Gewichtung durch tion.
442 11 Grundlagen der digitalen Schaltungstechnik

Jede Zusammenfassung in einem Kar- Diese Kegel setzt allerdings voraus, daB die
naugh-Veitch-Diagramm fuhrt zu einer Eindeutigkeit der Schaltung erhalten bleibt.
einzigen Konjunktion, unabhangig da- Gekennzeichnet werden diese Felder mit einem
von, wie viele Vollkonjunktionen zusam- Kreuz oder einem Stern, der als Platzhalter so-
mengefaBt wurden. (11-65) wohl „0" als auch , , 1 " einnehmen kann. Im
allgemeinen wird der Inhalt des Feldes (die ent-
Die Vereinfachung einer ODER-Normalform sprechende Vollkonjunktion) mit einer , , 1 " als
nimmt mit der Anzahl der zusammengefaBten wahr gekennzeichnet. Dies erlaubt dann groBt-
Vollkonjunktionen zu (s. Gl. (11-52)). So sind mogliche Zusammenfassungen, die nach Gl.
fur die Beschreibung der Ausgangsvariablen K (11-52) und Gl. (11-66) die groBte Vereinfa-
in Bild 11-35 nur noch drei (statt urspriinglich chung ergeben.
sechs) Eingangsvariable notwendig. Geht man
Beispiel
allgemein davon aus, daB die Vollkonjunktio-
nen aus M Variablen bestehen und das KV- 11.4-6: Es sollen die Verkniipfungsgleichungen fur
Diagramm eine Zusammenfassung von K Voll- einen Kodewandler gefunden werden, der den BCD-
konjunktionen erlaubt, so ergibt sich fur die Kode in einen Gray-Kode umwandelt. AnschlieBend
Anzahl der notwendigen Eingangsvariable A^ sollen diese mit Hilfe der Karnaugh-Veitch-Dia-
gramme vereinfacht werden.
folgender Zusammenhang:
Losung:
N=M-\dK, (11-66)
Man stellt zunachst die beiden Kodes gegeniiber:
wobei Id der Zweierlogarithmus ist (logarith-
BCD-Kode Gray-Kode
mus duaHs).
Eingangsvariable Ausgangsvariable
Auf das Beispiel in Bild 11-35 angewandt, steht
ABC D 0 p Q R
M fiir die 6 Eingangsvariablen und K fur die
8 zusammengefaBten Vollkonjunktionen. Der 0 0 0 0 0 0 0 0
Zweierlogarithmus Id von 8 ergibt den Wert 3 0 0 0 1 0 0 0 1
(2^ = 8), so daB nach der Zusammenfassung 0 0 1 0 0 0 1 1
nur noch A'^ = 3 Eingangsvariable in der Kon- 0 0 1 1 0 0 1 0
junktion vertreten sind. Gl. (11-66) ist ein gutes 0 1 0 0 0 1 1 0
Hilfsmittel, um festzustellen, ob eine Zusam- 0 1 0 1 0 1 1 1
menfassung auch tatsachlich der Minimal- 0 1 1 0 0 1 0 1
losung entspricht. 0 1 1 1 0 1 0 0
1 0 0 0 1 1 0 0
Karnaugh-Veitch-Diagramme mit mehr als 6 1 0 0 1 1 1 0 1
Variablen werden zusehends unhandHcher. So
hat bereits ein KV-Diagramm fur sieben Varia- Fiir jede Ausgangsvariable O, P, Q und R muB die
ble 128 Felder, 8 Variable bringen es auf 256 Verkniipfungsgleichung gefunden werden. Dazu wer-
Vollkonjunktionen und damit auf ebensoviel den die Vollkonjunktionen herangezogen, bei denen
Felder. die Ausgangsvariable wahr ist:
0 = {ABCD) + (ABCD).
11.4.6 Beispiele zur P = {ABCD) + (ABCD) +
Karnaugh-Veitch-Minimierung (A •B-CD) + iA'B'C'D)-\-
Der Umgang mit den Karnaugh-Veitch-Dia- {A B'CD) + (A- BCD).
grammen soil an zwei weiteren Beispielen unter Q^{A BCD) + (ABCD) +
Beachtung von Kegel 10 gezeigt werden: {ABC D) + (A BCD).
R = {ABCD) + (AB'CD) +
10. Werden nicht alle Vollkonjunktionen (ABCD) + (AB'CD) +
in einem Karnaugh-Veitch-Diagramm (ABCD).
benotigt, so konnen diese, um groBt-
mogliche Zusammenfassungen zu er- Fiir jede Ausgangsvariable legt man ein KV-Dia-
reichen, mit einer ,,1" oder einer „0" gramm an. Dabei werden die Vollkonjunktionen nach
besetzt werden. (11 -67) obigen Gleichungen mit , , 1 " eingetragen. Aus der
Kode-Tahelle geht hervor, daB nur zehn der 16 Felder
11.4 Minimierung nach Karnaugh-Veitch 443

I* 15^
1f
D 1 D
* *
*
* • *

1 m
1 1
Jy D pi:§;|i]
c c C ' o\ c C M
Zusammenfassung: 0 = >4 Zusammenfassung: H = (C • D) + (C • D)
B//J i/-i(5. Karnaugh-Veitch-Diagramm fur die Aus-
gangsvariable O. J?//fif 1 i-39. Karnaugh- Veitch-Diagramm fiir die A us-
gangsvariahle R.

m m BCD
A T
• Gray
Q

.J >1

=1 n
* c

D =1 /:^
1
* Bild 11-40. Minimierte Gleichung filr den BCD/
Gray-Kode- Wandler.
c c c
Zusammenfassung: P = A + B
Bild 11-37. Karnaugh- Veitch-Diagramm fur die Aus-
benotigt werden. Der BCD-Kode kennt nur die Zah-
gangsvariable P.
len 0 bis 9, so daB die restlichen Felder nach der Regel
10 (s. Gl. 11-67) frei defmierbar sind, ohne daB der
BCD-Kode seine Eindeutigkeit verliert. Fiir die Aus-
gangsvariablen ergeben sich somit die KV-Dia-

1 V
gramme gemaB Bild 11-36, 11-37, 11-38 und 11-39.
* Durch die moglichen Zusammenfassungen durch das
KV-Diagramm ergeben sich fiir die Ausgangsvaria-
* blen folgende Vereinfachungen:

1^ 0 = A
pF 1 P^A + B
Q = (CB) + {CB)

c
t (
1 Q=C®B
R= {CD)-h{C'D)
R = C® B.
Zusammenfassung: Q= {C • B) + {C • B) Die Schaltung zu diesem Beispiel zeigt Bild 11-40. Di
Q=C®B iogischen Funktionen werden durch die Grundele
Bild 11-38. Karnaugh-Veitch-Diagrammfiirdie Aus- mente der Booleschen Yerkniipfung (Bild 11-11) rec
gangsvariable Q. Hsiert.
444 11 Grundlagen der digitakn Schaltungstechnik

Beispiel
a.4-7: In einem Speicher wird eine Dekodierung be-
notigt, die vier AdreBbereiche ansprechen soil. Dazu D
sollen die Signale CS\ bis CSA aus den AdreBleitun-
gen ^8 bis ^11 nach Tabelle 11-22 gewonnen werden.
Zu beachten ist, dafi diese Signale negiert auftreten.
\D
Tabelle 11-22. Ubergangstabelle far Beispiel
11.4-2. 0 0

Eingangsvariable Ausgangsvariable \
0 0 D
yA11 A^0 ^9 AS CSA CSS CS2 CS1
c c c csT
0 u 0 U 1 1 0
0 0 0 1 1 1 0 Zusammenfassung: CS1 - A • B
0 1 0 1 1 0
i^ Bild 11-41. Karnaugh- Veitch-Diagramm fur das Chip-
0 0 1 1 1 1 0 select-Signal CSl.
' 0 1 0 0 1 0
1 0 1 1 1
0
L 0
1 0 1
1
1
1
0
1
f 1
1
0
0
A A

0
1 0 0 0
f 1 0 pjilll l l i D

1 0 0 1 1 0 B\
1 0 1 0 1 0 Mii
iMIB ii*SJ|Sv|i'
1 0 1 1 1 0
1 1 0 0 0 1
r
' 1 1 0 1 0 1
B\
1 1 1 0 0 1
1 1 1 1 0 1 D

CSn = i(AQ, A9, A^0, AM), n = 1 - 4 CS2


C C C

osung: Zusammenfassung: CS2 = A • B


a die C/^/p-5e/^c^Signale (Auswahlsignale) aktiv Bild 11 -42. Karnaugh- Veitch-Diagramm fur das Chip-
w sind, also nur bei Erreicken des Wertes „0" Giil- select-Signal CS2.
;keit haben, muB man hierbei von einer negativen
^gik nach Abschn. 11.3.1 ausgehen. So werden in
a folgenden Gleichungen diejenigen Vollkonjunk-
nen herausgesucht, bei denen der Ausgang zu null
•d:
D
l==(M'A9AlO' ITT) + (A%A9'AiO- All) +
(AS'A9A10' Ail) + (AS A9 AlO • All).
\==(MA9-A10' All) + (AS ^ A9 • AlO - All) +
\D
(M-A9- AlO • ~M) + (AS • A9 • ^10 • ITT).
= (M'A9'A10-A11) + (AS • l 9 • ZlO • ^11) + iiiiiIllii
(AE-A9-A10'A11) + (AS ' A9 • H O • v411).
= (:48 • 39 • .410 • A11} + (AS • A9 • ^10 • .411) + lilliBRii D

(38 • .49 • .410 • >111) + (.48 • A9 - AlO • All).


c <n c CS2
Vollkonjunktionen tragt man in ern 4 x 4-Kar-
Zusammenfassung: CS3 - A- B
i-Veitch-Diagramm ein. In dicsem Fall stehen
1 an den Koordinatenschnittpunkten aufgrund Bild 11-43. Karnaugh- Veitch-Diagrammfiirdas Chip-
jgativen Logik „0" und nicht wie bisher ,,1". select-Signal CSS.
11.4 Minimierung nach Karnaugh-Veitch 445

Zur tJbung
U 11.4-1: Wie viele Felder haben die Karnaugh-
0 0 D Veitch-Diagramme fur 7, 8, 10 und 12 Variablen?
il 11.4-2: Zeichnen Sie die Karnaugh-Veitch-Dia-
0 0 gramme fiir folgende Verkniipfungen zweier Varia-
blen: ODER-Verkniipfung, UND-Verkniipfung und
\D
Exklusive-ODER-Verkniipfung. Stellen Sie dazu
auch die Wahrheitstabellen auf.
U 11.4-3: Entwickeln Sie einen Kodewandler ahnlich
D
Beispiel 11.4-6, der den Gray-Kode (4 Bit breit) eines
Winkelmessers in hexadezimale Zahlen umwandelt.
CS4
Dabei sollen alle 16 moghchen Kodeworte benutzt
c r>
c werden. Stellen Sie dazu a) die Ubergangstabelle, b)
Zusammenfassung: CS4 = A- B das Gleichungssystem der Vollkonjunktionen sowie
Bild 11 -44. Karnaugh- Veitch-Diagramm fur das Chip- c) die entsprechenden Karnaugh-Veitch-Diagramme
select-Signal CS4. auf. d) Wie lauten die vereinfachten Gleichungen?
U 11.4-4: Eine Sieben-Segment-Anzeige mit den Seg-
Bild 11-41 bis 11-44 zeigen die jetzt moglichen Zu-
menten a bis g nach Bild 11-45 kann die Ziffern 0 bis
sammenfassungen.
9 darstellen. Sie soil durch den BCD-Kode angesteu-
Die Koordinaten der Diagramme haben dabei die ert werden. Dazu ist das entsprechende logische Netz-
Zuordnung A^AW, B = AlO, C = ^9 und D = ^8. werk zu entwickeln. - a) Stellen Sie die Ubergangs-
Die Zusammenfassung ergibt fur diese einfache De- tabelle fur die Zahlen 0 bis 9 auf. b) Wieviel
koderschaltung schlieBlich Gleichungen werden erwartet? c) Stellen Sie das Glei-
CS1=A B = An AlO. chungssystem mit den Vollkonjunktionen auf und d)
tragen Sie diese in die entsprechenden Karnaugh-
C52 = I 5 = ZTT A\0. Veitch-Diagramme ein. e) Wie lauten die Glei-
^S3=A B = An ZTO. chungen nach der Minimierung durch die Karnaugh-
CS4 = A B = An AlO. Veitch-Diagramme ?

a
< >
0 1
g
< > OOOOOOOQOO
d
U U U 0J U U U 0J U U
< >

Bild 11-45. Aufbau der Siebensegment-Anzeige.


446 12 Digitale Bauelemente

12 Digitale Bauelemente lien zu finden sind. Diese Bausteine werden als


Small Scale Integration (SSI)-Bauteile bezeich-
net, da die Integrationsdichte auf dem Chip ge-
Unter digitalen Bauelementen versteht man
ring ist. Steigt die Integrationsdichte, so spricht
Schaltkreise, die auf der Grundlage der Boole-
man von Medium Scale Integration (MSI) und
schen Gleichungen (Abschn. 11.3) beruhen. Erst
Large Scale Integration (LSI) bis zu Very Large
Anfang der 60er Jahre gelang es, mehrere
Scale Integration (VLSI). In neuester Zeit er-
Grundfunktionen auf einem einzigen Silicium-
laubt die Technik eine Integration von mehr als
plattchen zusammenzufassen. Es entstand eine
monolithisch integrierte Schaltung, und das Sili- 800000 Transistoren, wofur der Begriff Ultra
ciumplattchen ging als Chip in den Sprachge- Large Scale Integration (ULSI) steht. Bild 12-1
brauch ein. Diese einfachen Booleschen Ver- gibt einen Uberblick iiber die Integrations-
knixpfungen bezeichnet man als Gatterfunktio- dichte heutiger Bauelemente.
nen (engl.: Gates), da eine Information erst dann Die zunehmende Integration komplexer Schal-
weiterverarbeitet werden kann, wenn die Ver- tungen, fiir die friiher mehrere Leiterplatten
kniipfungsfunktion erfullt ist. Werden mehrere notwendig waren, erfordern auch entsprechend
Chips in einem integrierten Schaltkreis (engl.: mehr AnschluBkontakte, Pins genannt. So inte-
Integrated Circuit, IC) verwendet, so handelt es griert man heute hochkomplexe Schaltungen in
sich um hybride Schaltkreise. Gehause, die bis zu 300 Pins besitzen konnen.
Bild 12-1 zeigt eine Reihe von unterschiedlichen
Gehauseformen.
Unter einem monoHthisch integrierten
Schaltkreis versteht man die Zusammenfas- Die Beschreibung „Digitale Bausteine" setzt zu-
sung mehrerer Gatterfunktionen auf einem nachst einige Abkiirzungen voraus, die im fol-
Chip. genden benotigt werden und im allgemeinen
Sprachgebrauch iiblich sind:
Die ersten digitalen Schaltkreise umfaBten CMOS Complementary MOS
nur wenige Gatterfunktionen, wie UND und ECL Emitter-Coupled-Logic
ODER, die auch noch heute in alien Logikfami- FAST Fairchild-Advanced-Schottky TTL

Digitale Bauelemente

UND-/ODER Zahler Arithmetik- Speicher-Mikro- Gate Arrays


Gatter Dekoder Bausteine prozessoren
Funktion
Inverter Multiplexer Speicher periphere Signal-
D-Flip-Flop PAL Bausteine Prozessoren

Beispiel

SSI MSI LSI VLSI ULSI


Integrationsdichte-
Bild 12-L Digitale Bauelemente.
12.1 Logikfamilien 447

HC(MOS) High-Speed-CMOS GND Ground, Bezugspotential (Masse)


HCT TTL kompatible HC-Bausteine FgB Hilfsspannung (Bias-Spannung).
LSTTL Low-Power-Schottky TTL
Zeiten bezeichnet man mit dem Buchstaben „t"
MOS Metal-Oxide-Semiconductor
und den entsprechenden Indizes. Sie sollten den
STTL Schottky TTL
Datenbiichern entnommen werden, da die Be-
TTL Transistor-Transistor-Logik.
zeichnungen nicht einheitlich sind und dort in
Die Spannungen werden bei den Logikfamilien aller Regel der direkte Bezug zur Pulsform dar-
im allgemeinen mit dem Buchstaben „ F " ange- gestellt ist. Die wichtigsten heifien:
geben (in manchen Datenbiichern auch mit
dem Buchstaben „U"), wobei Indizes die ange- tpd Propagation Delay, Gatterschaltzeit
gebene Spannung bezeichnen. Die Festlegung t^ Pulsanstiegszeit (r: rising)
tf Abfallzeit (f: falling).
und Bezeichnungen der Spannungen fur die
logischen Pegel zeigt Bild 12-2.
Eingang Ausgang 12.1 Logikfamilien
^.Hrr Die mathematische Beschreibung der Aus-
.:-i::::-'' gangsvariablen in Abhangigkeit von der Ein-
_ Rauschspannungs- gangsvariablen erfolgt mit Hilfe der Booleschen
iabstand High
Storspannungs- 1 \/NMHigh
Algebra (Abschn. 11.3). Zur Umsetzung dieser
abstand Gleichungen in eine funktionierende Schaltung
I ^NMLow sind entsprechende Bauelemente (z. B. die Basis-
j^Rauschspannungs- elemente UND, ODER, NICHT und Antiva-
\/,Lrr abstand Low
lenz) notwendig. In der Digitaltechnik werden
diese Bauteile als Logikfamilie bezeichnet. Jede
LogikfamiHe besitzt spezielle technische Eigen-
Bild 12-2. Definition der Spannungen bei den logi-schaften, wie beispielsweise Geschwindigkeit,
schen Pegeln 0 und 1. Taktfrequenz und Leistungsaufnahme. Tabelle
12-1 stellt diese grundlegenden Eigenschaften
Die einzelnen Spannungen bedeuten: der unterschiedlichen Logikfamilien zusam-
men.
P^L Eingangsspannung fiir den Zustand
Low („0") In Tabelle 12-1 sind die Logikfamilien von links
^iLmax niaximale Eingangsspannung fiir Low nach rechts nach abnehmenden Schaltgeschwin-
minimale Eingangsspannung fur Low digkeiten geordnet. Die Schaltgeschwindigkeit
'^ILmin
Eingangsspannung fiir den Zustand beschreibt die typische Verzogerungszeit, die
High („1") ein Puis am Eingang eines Gatters (z.B. eines
maximale Eingangsspannung fiir High Inverters) bis zum Ausgang erfahrt. In den
minimale Eingangsspannung fur High Datenbiichern ist diese Zeit mit propagation
Ausgangsspannung fiir den Zustand delay t^^ bezeichnet. Bei der Entwicklung der
Low („0") komplexen digitalen Logik hat diese Zeit einen
VcOL max maximale Ausgangsspannung fiir Low
erheblichen EinfluB auf die Verwirklichung
minimale Ausgangsspannung fiir Low logischer Schaltungen (darauf wird in Kapitel
Ausgangsspannung fiir den Zustand 13 noch ausfiihrlich eingegangen). Direkt ab-
High („1") hangig hiervon ist auch die Flip-Flop (FF)-
Fn, maximale Ausgangsspannung fiir High Toggle-Frequenz. Sie gibt an, wie oft die logi-
minimale Ausgangsspannung fiir High schen Schaltzustande 0 und 1 pro Sekunde
Rauschspannungsabstand fur Low maximal geandert werden konnen.
(NM = Noise Margin) Die Leistungsaufnahme der Bauteile ist von der
MvIM high
Rauschspannungabstand fiir High maximalen Geschwindigkeit abhangig. Um ein
Storspannungsabstand vergleichbares MaB zu erhalten, wird die Ruhe-
positive Betriebsspannung leistungsaufnahme (engl.: quiescent power) pro
negative Betriebsspannung (meist Gatter ermittelt und gegenubergestellt. CMOS-
GND) Bauteile (einschlieBlich der High-Speed-CMOS
448 12 Digitale Bauelemente

Tabelle 12-1. Schaltzeiten, Taktfrequenzen und Leistungsvergleich der Logikfamilien.


^ \ Logik- CMOS TTL LSTTL HC(T) STTL FAST ECL
^ ^ familie Comple- Transistor- Low- High- Schottky- Fairchild- Emitter-
mentary- Transistor- Power- Speed- TTL Advanced- Coupled-
MOS Logic Schottky- CMOS STTL Logic
Eigenschaften ^^^ TTL

Schaltgeschwindigkeit 35 ns 10 ns 8 ns 8 ns 4 ns 3 ns 1,0 ns

Flip-Flop-Taktfrequenz 7 MHz 15 MHz 30 MHz 50 MHz 75 MHz 100 MHz 500 MHz

Leistungsaufnahme 10 nW 10 mW 2mW 25 nW 20 mW 4mW 25 mW

(HC)-Familie) erzielen dabei sehr geringe Werte Tabelle 12-2. Geschwindigkeits-Leistungs-


(nur wenige Nanowatt), da die Leistungsauf- Produkt der Logikfamihen.
nahme in Ruhe nur durch die Leckstrome
der Gatekapazitaten bestimmt wird (Abschn. Logikfamilie Geschwindigkeits-
12.1.3). Ihre Leistungsaufnahme steigt mit zu- Leistungs-Produkt
nehmender Schaltfrequenz, wahrend die der
HC/HCT 0,18
anderen Familien nahezu konstant bleibt. Die
grafische Zusammenfassung der Tabelle 12-1 CMOS 0,25
ergibt das Bild 12-3. Es ist deutlich zu erken-
nen, daB die Logikfamilien auf CMOS-Basis TTL 150
(CMOS 4000, HC/HCT und AC/ACT) einen
um mehr als vier Zehnerpotenzen geringeren FAST 255
Leistungsbedarf pro Gatter besitzen als bei-
spielsweise LSTTL- oder FAST-Bauteile. STTL 283

LSTTL 363
CMOS Complementary-MOS 1
- HC/HCT High-Speed-CMOS
AC/ACT Advanced-HC/HCT
TTL Transistor-Transistor-Logic Joule (pJ) pro Gatter angegeben. Die Werte
J 50 LCMOS LSTTL Low-Power-Schottl<y-TTL
I 30 U4000 STTL Schottky-TTL einiger Logikfamilien sind in Tabelle 12-2 zu-
ECL Ennitter-Coupled-Logic sammengestellt.
^ 20 FAST Fairchild-Advanced-STTL
CO AS Advanced-STTL Neben diesen dynamischen Eigenschaften unter-
olOt • scheiden sich die Logikfamilien auch in ihren
t HC/HCT
• -
LSTTL Betriebsspannungen sowie"^ deren Toleranzbgrei-
I Bh chen. In Bild 12-4 ist der meist genutzte Bereich
FAST. AS STTL
schrafTiert dargestellt. Er liegt bei der Digital-
•g 3 N AC/ACT • • technik typischerweise bei einer Spannung von
% 2h 10KHECL" 5 V („5 V-Schaltungstechnik"). Er wird von den
1 1
100KHE
1 00KHECL meisten Logikfamihen abgedeckt.
1 I \ J1 \ I\ I \ I \ 1\ !•
0,001 0,1 1 2 3 5 10 20 Der weite Versorgungsspannungsbereich der
durchschnittliche Leistungsaufnahme / mW CMOS-Familie (Bild 12-4) erlaubt beispiels-
Bild 12-3. Geschwindigkeits-Leistungs-Diagramm weise ihren Einsatz in bereits vorhandenen
der Logikfamilien. elektronischen Schaltungen, ohne fur die Logik
eine zusatzliche Versorgungsspannung bereit-
Mit Hilfe von Bild 12-3 laBt sich die Energie- zustellen (z. B. in Maschinensteuerungen, die
bilanz der Bauteile ableiten. Sie ist das Produkt 12 V-Relais-Ausgange besitzen). HC-Bauteile
aus Geschwindigkeit und Leistung pro Gatter, eignen sich sehr gut fiir batteriebetriebene
besser bekannt durch die englische Bezeich- Schaltungen, da sie noch bei einer Betriebs-
nung speed-power-product und wird in Pico- spannung von 2V arbeiten und einen kaum
12.1 Logikfamilien 449

Tabelle 12-3. Logikpegel der einzelnen Fami-


lien.
^ \ Pegel typische Spannun-
gen fiir die logi-
schen Zustande
Logik- ^ \
familie ^ \ ^ 0 1

TTL, STTL, LSTTL 0,5 V 3,5 V

FAST 0,4 V 3,5 V

CMOS 0,05 V 4,95 V

HC 0,1V 4,9 V '


Bild 12-4. Versorgungsspannung der unterschiedlichen HCT 0,1V 4,9 V
Logikfamilien.
ECL -1,7 V -0,9 V
mefibaren Ruhestrom aufnehmen (Tabelle 12-1).
VoUig aus dem Rahmen fallt hingegen die ECL-
einen Widerstand erfolgen, der am Ausgang des
Familie, die eine negative Versorgungsspan- TTL-Gatters mit der -f 5 V-Versorgungsspan-
nung benotigt. Daneben muB noch eine weitere nung verbunden wird (engl.: pull-up).
Hilfsspannung zur Verfugung gestellt werden, Eine weitere wichtige GroBe fur die Entwick-
so daB die ECL-Familie mit insgesamt drei lung logischer Schaltungen ist der Ausgangs-
Spannungspotentialen versorgt werden muB. strom. Von ihm ist es abhangig, wieviel weitere
Die ECL-Bauteile haben jedoch die kiirzesten Gatter angesteuert werden konnen. In diesem
Schaltzeiten aller Logikfamilien (Abschn. 12.1.5). Zusammenhang spricht man vom Fan-Out des
Der Betrieb der verschiedenen Logikfamilien Gatters und gibt an, wieviel Eingange seiner
an unterschiedlichen Spannungen sowie die eigenen Familie angesteuert werden konnen.
verschiedenen Technologien lassen eine ge- Die dimensionslose GroBe des Fan-Out ergibt
mischte Verwendung nicht ohne weiteres zu. sich als Quotient von maximalem Ausgangs-
Entscheidend dafiir sind die garantierten Aus- strom und maximalem Eingangsstrom der an-
gangspegel fiir die logischen Zustande 0 und 1. zusteuernden Bausteine:
Die Zusammenstellung in Tabelle 12-3 zeigt die
typischen Logikpegel 1 („High") und 0 („Low") lo.
der Logikfamilien. Fan-Out = (12-1)
lu
Fiir die Betriebssicherheit gemischter digitaler
Schaltungen sind jedoch die worst case-Span- Um vergleichbare Werte zu erhalten, erfolgt oft
nungspegel (z.B. KoHmax ^nd P^Hmin) maBge- die Fan-Out-Angabe in bezug auf LSTTL (Ta-
bend. Es wird deutlich, daB bei der Zusammen- belle 12-5, rechter Teil). Dabei wird der allge-
schaltung unterschiedhcher Familien in den meine Ausdruck in Gl. (12-1) durch /jmax-LsxTL
meisten Fallen eine Pegelanpassung notwendig ersetzt.
ist. Speziell bei CMOS (Betrieb an einer Span- Das Fan-In ist die normierte Eingangsbelastung.
nung >5V) und bei den ECL-Bauteilen (Be- Bezogen auf die eigene Famihe ergibt sich
trieb an negativer Spannung) ist dies nur mit stets 1. Deshalb ist die Angabe des Fan-In nur
entsprechenden Umsetzbauteilen moglich. Wie dann sinnvoU, wenn der Bezug auf eine andere
die unterschiedlichen LogikfamiUen zusam- LogikfamiUe erfolgt. Auch hier ist es in der
mengeschaltet werden konnen, zeigt Tabelle
Regel die LSTTL-Familie. Fur das Fan-In gilt
12-4.
Miissen nur kleine Spannungsdifferenzen aus- An
geglichen werden, wie beispielsweise von TTL Fan-In = (12-2)
/, max-LSTTL
auf HC, so kann dies im einfachsten Fall liber
450 12 Digitale Bauelemente

Tabelle 12-4. Verkniipfung unterschiedlicher Logikfamilien.


^"'^^^^ nach HC HCT CMOS CMOS TTL ECL
von ^"^-v^^^ (5V) (6 bis 15 V)

HC direkt direkt direkt 4104 direkt 10124

HCT direkt direkt direkt 4104 direkt 10124

CMOS direkt direkt direkt 4104 direkt 10124


(5V)

CMOS 4049 Oder 4049 Oder 4049 Oder direkt 4049 Oder Transistor
(6 bis 15 V) 4050 4050 4050 4050

TTL „pull-up"- direkt „pull-up"- 4104 direkt 10124


Widerstand Widerstand

ECL 10125 10125 10125 Transistor 10125 direkt

12.1.1 TTL moglicht einen wesentlich schnelleren Wechsel


der logischen Zustande 0 und 1, so daB Schalt-
Die TTL-Familie (Transistor-Transistor-Lo- zeiten von ca. 10 ns erreicht werden. Die Lei-
gik) kam 1964 auf den Markt und entwickelte stungsaufnahme liegt bei 10 mW pro Gatter.
sich bald zur verbreitetsten Logikfamilie. Sie Schon kurze Zeit spater wurden die Schottky-
war im wesentlichen eine Weiterentwicklung TTL (STTL)- und Low-Power-Schottky-TTL
der bis dahin eingesetzten DTL- (Dioden Tran- (LSTTL) vorgestellt, die auf der Basis dieser
sistor Logik) und RTL- (Widerstands; engl.: Re- Standard-TTL Bausteine entwickelt wurden.
sistor Transistor Logik) Familien, deren Schalt- Die Zusammenstellung in Bild 12-6 zeigt oben
zustand von einem aktiven Bauelement (Transi- den typischen Eingang eines Standard-TTL-
stor) und einem passiven Bauelement (Diode Bauteils. Dabei erfolgt die Reahsierung der
bzw. Widerstand) bestimmt wurde (Bild 12-5). Booleschen UND-Verkniipfung durch einen
Die Schaltzeiten, die damit erreicht wurden, Multiemitter-Transistor, der bis zu 8 Eingange
waren entsprechend langsam. (Emitter) haben kann.
Die RTL-Familie wurde als Chip nicht fortge- Bei alien Logikfamilien, mit Ausnahme von
fiihrt. Sie und die DTL-Familie haben praktisch ECL, werden die aktiven Elemente (Transisto-
keine Bedeutung mehr und sind nach dem Er- ren) in die Sdttigung geschaltet. So wird der
scheinen der TTL-FamiHe nicht mehr zu erhal- Low-Pegel C/L (logisch 0) des Ausgangs durch
ten. Bei der TTL-FamiHe werden beide Schalt- die Sattigungsspannung von Kollektor zu Emit-
zustdnde durch aktive Bauelemente (deshalb ter t/cEsat bestimmt und betragt Ui^ = 0,2Y.
Transistor-Transistor-Logik) reahsiert. Dies er- Der High-Pegel U^^ (logisch 1) ist neben der

I . I L
Bild 12-5. Schaltelemente der RTL, DTL und TTL.
12.1 Logikfamilien 451

G g H »o
c O
OS O in
- IT) m

g (D ,, .aa

X5 CTJ S fc
o o oo
1
g ^
o o o o
? to
H
U

on
H-1 C/3 t:
II
<1
U a o
m
a CO
a
<
a a
o
< < o H o
< U <
_ a aJ H
vo

s < <
U a a
o oB-\
a a
H-l
H
^ H4
H
H H H-1
H
H H
GO c/5
5^
< < <
a a a a
^
I o^ a I I
us
< <
I I
O L so
1 oa 1
J
c 1 1

< <
G a
a 1/3
Ls
:0 1 O 1 c
1
s
a < < a
I
a
L o oa _
(N
<
7 7

o
I

t2
452 12 Digitale Bauelemente

TTL baute Ausgangsschaltung wird als Totem-pole-


Ausgang (Totem (indianisch): Verwandtschaft)
^cc ± 5
bezeichnet (Bild 12-7).
A_^^^_mr\_^^ - 3
Eingange B - ± : | : i ^ 1 DJ STTL
T i l l 1 N 1 1 1 1
Die Vorteile der Schottky-Diode (Abschn. 2.5.2)
(3 12 3 4 5 wurden bei der Weiterentwicklung der TTL-
^E.N/V Familie ausgenutzt. So entstand die Schottky-
TTL (STTL)-Familie mit wesentlich kiirzeren
LSTTL
Schaltzeiten. Sie konnten auf durchschnittlich
a) ^cc 1 5 ns halbiert werden. Allerdings stieg dabei die
ni7k n 5
Leistungsaufnahme pro Gatter auf 20 mW an.
• >4 Zusatzliche Transistoren vor dem Totem-pole-
Eingange B - -
Ausgang (Bild 12-7, unten) sorgen dabei fur
einen raschen Ladungsahflufi in der Basiszone.
l^^-4
) 1 2 3 4 5
1 1 1
Der Langswiderstand in der Kollektorleitung
b) \/cc- (y,-,K,/v betragt hier nur 50 Q, so daB auch im High-Zu-
^EIN' ^
Qllkfi stand Schaltstrome von 20 mA erreicht werden.
Eingange B-j-
'°'St Die STTL-Familie war lange Zeit die schnellste
Logikfamilie, die bei 5V betrieben werden
c-4 p a) beispielsweise konnte. FAST (Fairchild Advanced Schottky
', Zahlereingange
^ b) fiJr Gattereingange
TTL) und vor allem die seit 1988 verfugbare
AC-Familie (Advanced High Speed CMOS) ha-
STTL ben die Schottky-TTL nahezu volHg verdrangt.
Bin Hauptnachteil war der enorme Leistungs-
5
• 450 f2-4kl2 4 bedarf dieser Bauteile, der bei komplexeren
A- 1 Vi^
Schaltungen entsprechend groBe Netzteile vor-
Eingange B - aussetzte.
C'c
; =?? ^
LSTTL
1 °<5 12 3 4 5
Die LSTTL (Low-Power-Schottky-TTL) ist
Bild 12-6. Eingangsbeschaltung der TTL-, LSTTL- eine Variante der STTL, die vor allem wegen
und STTL-Bauteile. ihres geringen Leistungsbedarfs vorteilhaft ist.
Der grundsatzliche Aufbau der typischen Ein-
und Ausgangselemente gleichen denen der
Sattigungsspannung des oberen Transistors Schottky-Bauteile, besitzen aber hochohmigere
auch vom Spannungsabfall am Widerstand und Widerstande (Bild 12-6 und Bild 12-7). Das Er-
an der Diode abhangig. Der Spannungsverlust gebnis sind Schaltzeiten um 9 ns bei einer Lei-
am Widerstand hangt vom Ausgangsstrom ab, stungsaufnahme von nur 2mW pro Gatter,
so daB gilt: etwa einem Zehntel der STTL. Bis Ende der
80er Jahre waren diese Bauteile die bevorzugte
C/H = l ^ C C - f / c E s a . - « / a u s - t / D - (12-3) Logikfamihe.
Wichtig fur den Entwickler sind die Grenzspan-
Der High-Pegel eines Standard-TTL-Bauteils nungen und Spannungstoleranzen einer Logik-
schwankt demnach zwischen 3 V und 4,4 V. Die familie, wie sie in Bild 12-8 zusammengestellt
Folge davon ist, daB der Ausgang bei einem sind. Die logische „0" (Low-Zustand) der TTL-
Low-Pegel starker belastet werden kann als Famihen als Eingangssignal ist im Bereich von
beim High-Pegel, da die Sattigungsspannung OV bis 0,8 V festgelegt, die logische „1" (High-
CEsat iiber dem Transistor nahezu konstant Zustand) von 2,0 V bis 5,0 V. Nur bei Einhal-
bleibt, und der Ausgangsstrom an keinem tung dieser Toleranzbereiche (dabei soUte man
Widerstand einen Spannungsverlust verursacht nicht bis an die Grenzen gehen) ist die Funktion
(Tabelle 12-5). Die mit zwei Transistoren aufge- der Bauteile gewahrleistet.
12.1 Logikfamilien 453

TTL STTL LSTTL

Ausgang Ausgang
Ausgang

Bild 12-7. Totem-pole-Ausgang der TTL-Familien (TTL, LSTTL und STTL).

Der Rauschspannungsab stand (engl.: noise ^Hmin niedrigste Eingangsspannung fiir den
margin) der Bauteile ergibt sich aus der Dif- High-Zustand
ferenz der schlechtest moglichen Eingangs- FoHmin niedrigste Ausgangsspannung des
spannung zur schlechtest moglichen Ausgangs- High-Zustandes.
spannung („worst case"-Bedingungen). Fur die
Fiir die TTL-Famihen (TTL, STTL und
beiden Schaltzustande 0 und 1 ergibt sich dem-
LSTTL) ergeben sich nach Bild 12-8 folgende
nach:
Werte:
= KT '^ OL max ' (12-4) = 0,8V,
''^IL max '^IHmin = 2,0V,
M3L max = 0,4V, M3H mir = 2,7V.
,
und fiir den High-Zustand entsprechend
Fiir den Low- und High-Zustand folgen daraus
nSlM High — K D H min MH min • (12-5) unterschiedliche Ergebnisse:
NMLOW.TTL=0,4V,
Dabei gilt
NM High-TTL = 0,7V.
^NM Low Rauschspannungsabstand (noise mar-
gin) Low (Storfestigkeit) Der Bereich zwischen den beiden Zustanden
^NMHigh Rauschspannungsabstand High Low und High ist nicht defmiert und gilt auch
t^Lmax hochste Eingangsspannung fur den als verbotener Bereich. Er ist ein MaB fur die
Low-Zustand Storsicherheit der definierten Zustande (0 oder
FQL max hochste Ausgangsspannung des Low- 1) und wird deshalb als Storspannungsabstand
Zustandes KJT bezeichnet. Er berechnet sich aus der mini-

-
4h

"5 3
Q. *^
w> 2 7

1
0,8
0,4 — ,
0
Spannungsbereich Spannungsbereich Rauschspannungs- Rauschspannungs- Storspannungs-
fiir den Low-Pegel fijr den High-Pegel abstand des abstand des abstand
High-Pegels Low-Pegels

Bild 12-8. Grenzspannungen von TTL, LSTTL und STTL.


454 12 Digitale Bauelemente

malen Eingangsspannung fur den High-Zu- Tabelle 12-6. Kennzeichnung der Logik-Fami-
stand (P^Hmin) abziiglich der maximalen Ein- lien auf den Bauteilen.
gangsspannung fur den Low-Zustand (F,Ljnax)-
x^^Temperatur- industrieller militarischer
N. bereich Temperatur- Temperatur-
Der Storspannungsabstand von logischen
bereich bereich
Schaltkreisen ergibt sich aus den worst-case- ( - 4 0 bis ( - 5 5 bis
Spannungen fur die logischen Zustande ,,1" Baustein N. + 85°C) + 125°C)
und „0".
^ L — nfHmin HL (12-6) Standard-TTL 74 xxx 54 xxx

Schottky-TTL 74 S xxx 54 S xxx


Fiir die TTL-Familien ergibt sich mit den obi-
gen Werten und Gleichung (12-6) der Storspan- Low-Power- 74 LS xxx 54 LS xxx
nungsabstand zu Schottky-TTL
^HL ~ MH min ~ ML max '
FAST 74 F xxx 54 F xxx
FHL = 2,0 V - 0 , 8 V,
MIL-TTL ~ 1,2 V . High-Power- 74 H xxx 54 H xxx
TTL
Die Low-Power-Schottky-TTL haben die Stan-
dard-TTL-Bauteile, wie sie Anfang der 60er Low-Power- 74 L xxx 54 L xxx
Jahre vorgestellt wurden, ganzlich verdrangt. TTL
Der wesentlich geringere Leistungsbedarf half
auch die Kosten fiir die erforderlichen Strom- High-Speed- 74 HC xxx 54 HC xxx
versorgungen zu senken. Auch andere Varian- CMOS
ten der Standard-TTL-Familie hatten nur
TTL-kompatible- 74HCTXXX 54HCTXXX
eine geringe Lebensdauer. So wurde neben
High-Speed-
den Low-Power-Schottky-Bauteilen auch eine CMOS
High-Power-Familie (HTTL) entwickelt, die die
Leistungsaufnahme und Geschwindigkeit der
STTL-Familie nahezu erreicht, aber ohne die
12.1.2 FAST
Schottky-Transistoren und Dioden auskommt.
Ebenso wurde auch eine langsame storsichere Die FAST-Familie (Fairchild-Advanced-Schott-
Logik (LSLTTL) und eine Low-Power-TTL- ky-TTL) war eine konsequente Weiterentwick-
Familie (LTTL) auf der Basis der Standard- lung der STTL-Familie durch die Firma Fair-
TTL-Bauteile entwickelt, die aber mit dem Er- child. Durch die Entwicklung des Isoplanar-II-
scheinen der CMOS-Familie ebenfalls vom Transistors konnte die notwendige Grundfldche
Markt verschwand. drastisch verringert werden.
Die Kennzeichnung der TTL-Famihen (und Bild 12-9 veranschauhcht die Reduzierung der
auch der High-Speed-CMOS, siehe unten) er- notwendigen Chip-Flache fiir einen integrierten
folgt auf den Bauteilen mit den fuhrenden Buch- Transistor bei FAST Ein weiteres Merkmal ist
staben 74yyxxx (Industrieller Temperaturbe- die Verwendung von Siliciumdioxid als Isolator,
reich von - 4 0 ° C bis +70°C) oder 54yyxxx was eine Verminderung der seithchen Streuka-
(militarischer Temperaturbereich von — 55°C pazitdten zur Folge hat. Allein durch die Fla-
bis +125°C). Welcher der TTL-Familien der chenreduzierung sind diese Kapazitaten bereits
Bauteile zugeordnet werden muB, ergibt sich sehr viel geringer als bei den herkommlichen
aus den nachfolgenden Buchstaben yy. 1st kein Bauteilen von STTL und LSTTL. Die Folge
Buchstabe vorhanden, handelt es sich immer da von ist eine hohere Grenzfrequenz und damit
um die Standard-TTL-Familie. Die nachfol- kiirzere Schaltzeiten (Tabelle 12-1).
genden Ziffern xxx sind ein Schliissel fiir die Die einzelnen Grenzspannungen in Bild 12-10
Funktion, die in dem Bauteil realisiert ist. gleichen im wesentlichen den TTL-Spannungs-
Tabelle 12-6 zeigt eine Zusammenstellung von bereichen. Ledighch die Ausgangsspannung fiir
Familien und ihre Kennzeichnung auf den Bau- den High-Zustand liegt im ungiinstigsten Fall
teilen. bei Fo„„,„ = 2,5 V
12.1 Logikfamilien 455

Der Storspannungsabstand FHL_FAST bleibt


ebenfalls mit 1,2 V gleich.

12.1.3 CMOS
Die Schaltelemente der CMOS-Familie sind
Feldeffekttransistoren (FET) auf MOS-Basis
(MOS = Metal Oxide Semiconductor). Diese
zeichnen sich durch einen extrem hohen Ein-
gangswiderstand und eine sehr niedrige Verlust-
leistung aus. Die Verwendung von komplemen-
Basis (B) taren FET (n-Kanal-FET und p-Kanal-FET,
Schottky-Diode (SD) Abschn. 3.1.1, Bild 3-1) fiihrte zur Entwicklung
der CMOS-Familie (Complementary MOS).
STTL LSTTL FAST Ihr besonderes Kennzeichen ist die geringe
Ruhestromaufnahme, die bei den Gattern bei
Bildl2-9. Fldchenbedarf des Isoplanar-II-Transi- 10 nW liegt. Der Grund liegt darin, daB stets
stors. einer der beiden komplementaren Transistoren
gesperrt ist und damit kein Strom flieBen kann.
Die Rauschspannungsabstande ergeben sich In Bild 12-11 ist ein typischer Eingang fiir eine
zu: ODER-Verknupfung zweier digitaler Signale A
^^M LOW-FAST — 0 , 4 V , und B abgebildet, die schlieBlich zum Ergebnis
^MHigh-FAST = 0 , 5 V . P = A + B fiihrt.

3
2,5 — iiliiiiiiH^^
2

1
0,8 liiiiiiiiiiiiiii
0,4 Ill III 11ill III
Spannungsbereich Spannungsbereich Rauschspannungs- Rauschspannungs- Storspannungs-
fiir den Low-Pegel fijr den High-Pegel abstand des abstand des abstand
High-Pegels Low-Pegels

Bild 12-10. Grenzspannungen der FAST-Bauteile.


a) Schaltung b) Transfer-Charal<teristil< CMOS

nach Eingangs-
400 fi verknijpfung

Eingang 40012

5 Ausgang nach gepuffertem


Ausgang
- ^ p = A+B

Uh a
i--^
0 5 10 15
Eingangsspannung V^^^ I V

Bild 12-11. Eingangsschaltung und Transfer-Charakteristik der CMOS-Bauteile bei verschiedenen Spannun-
gen.
456 12 Digitale Bauelemente

Die Ubertragungskennlinie (Transfercharakteri- und verbessern sich auch die Rauschspan-


stik) zeigt die Schaltschwelle genau in der Mitte nungsabstande. In Bild 12-13 sind die charakte-
der Versorgungsspannungen. Ihre Schaltcha- ristischen Grenzspannungen fur drei unter-
rakteristik wird durch das Nachschalten von schiedhche Versorgungsspannungen (5V, 10 V
Buffern (Schaltstufen) wesentlich verbessert (in und 15 V) wiedergegeben.
Bild 12-11 gestrichelt eingetragen). Der Aus- Die Storspannungsabstande nach Gl. (12-6)
gangsbuffer kann dabei aus mehreren Aus- sind demnach
gangsstufen (Bild 12-12) bestehen. Jeder dieser
Ausgangsstufen stellt einen Inverter dar, so da6 ^HL-CMOS/5V — 3 ^?
eine nicht invertierende Ausgangsschaltung aus '^L-CMOS/lOV ~ ^ ^9
zwei Stufen besteht,^ eine invertierende Aus-
^HL-CMOS/15V = l O V .
gangsschaltung aus drei Stufen.
Eine deutliche Verbesserung des Rauschspan-
rr-r^cc
nungsabstandes erreicht man durch den Ein-
satz der CMOS-Bauteile, weil in diesen Fallen
kein Langswiderstand wie bei den Totem-pole-
p—] Ausgangen benotigt wird. Samthche FETs der
Ausgangsschaltung sind direkt mit einem Span-
nungspotential (entweder Betriebsspannung
oder Masse) verbunden. Der interne Span-
zweistufiger Ausgangsbuffer
nungsabfall wird daher nur durch den Span-
^ nicht invertierend ~^ nungsabfall des durchgeschalteten FET be-
I stimmt und liegt bei maximal 0,05 V. Somit hegt
I— dreistufiger Ausgangsbuffer, invertierend-
der High-Zustand im schlechtesten Fall 0,05 V
Bild 12-12. Invertierender und nicht invertierender unter der Versorgungsspannung, der Low-Zu-
Ausgang bei CMOS. stand hochstens 0,05 V iiber dem Massepoten-
Ein weiterer Vorteil der CMOS-Familie ist ihr tial. Die Rauschspannungsabstande ergeben
grofier Betriebsspannungsbereich. Von + 3 V bis sich somit:
+15 V werden ihre Schalteigenschaften garan- bei 5V:
tiert. Die Ruheleistung von 10 nW pro Gatter
bleibt dabei konstant. Mit zunehmender Span- ^NM Low-CMOS = 0 , 9 5 V ,

nung verkiirzen sich jedoch die Schaltzeiten ^NM High-CMOS — O ? " ^ ^ '•>

Betriebsspannung
16r 5V 10V 15V 5V 10V 15V 5V 1 10V 15V 5V 10V 1 1 5 V 5 V 1 1 0 V 15V
1 1
14 1 1
1 1
12h 1 1
1
10

c
ill

4|-
i
2
1 1 1
1 1
1
Spanr lungsb ereich Spanr lungsb sreich Rausc hspanr lungs- Rausc hspanr ^ungs- Stor spannu ngs-
0
fijr de n Low-Pegel fiJr de n High-Pegel absta i d des abstar d des abstcand
High- Pegels Low-F'egels

Bild 12-13. Eingangsspannungsbereiche der CMOS-Bauteile.


12.1 Logikfamilien 457

bei 10 V: Abblockkondensatoren

H^M Low-CMOS — 1 ? 9 5 V ,

^ M High-CMOS ~ ^•>^^ ^ '•>

bei 15 V:
^NM Low-CMOS ~ 2 , 4 5 V ,
KNM High.CMOS = 2,45 V .

Der komplementare Aufbau der Schaltstufen


bei CMOS birgt einen Nachteil. Beim Umschal-
ten von einem logischen Zustand in den ande-
ren treten Stromspitzen bis zu mehreren mA auf
und zwar deshalb, weil beide Feldeffekt-Transi-
storen beim Umschalten kurzzeitig leitend sind
und somit einen niederohmigen Pfad zwischen
beiden Potentialen bilden. Bild 12-14 zeigt
den Drain-Strom Ij^ wahrend eines Schaltvor-
gangs (drain, engl.: AbfluB. In diesem Fall ist Bild 12-15. Abblockkondensatoren an CMOS-Bau-
die Stromaufnahme wahrend des Schaltens ge- teilen.
meint).
Stromversorgung muB diese dynamischen An-
teile abdecken konnen.
VQQ Drain-Spannung Damit wird auch deuthch, daB die mittlere
V/g Schwellspannung Stromaufnahme mit der Anzahl der logischen
Zustandswechsel zunimmt. Die mittlere Lei-
stung ergibt sich aus der Flache unter dieser
Kurve iiber der Zeit (gekennzeichneter Bereich
in Bild 12-14). Bei Taktfrequenzen um 1 MHz
ist die Leistungsaufnahme vergleichbar mit der
des Low-Power-Schottky-TTL und kann dann
sogar in Abhangigkeit von der Betriebsspan-
nung um den Faktor 10 schlechter werden.
Bild 12-16 zeigt die typische Leistungszunahme
Eingangsspannung mit hoher werdenden Frequenzen. Zum Ver-
Bild 12-14. Drain-Strom /^ als Funktion der Ein- gleich ist auch die Leistungsaufnahme der Low-
gangsspannung. Power-Schottky-TTL mit aufgetragen, die bis
Der n-Kanal MOS-FET bleibt unterhalb einer
Schwellspannung V^ stets gesperrt, wahrend der
p-Kanal MOS-FET durchgesteuert ist. Es kann
kein Strom durch das komplementare Paar flie-
Ben. Steigt die Eingangsspannung weiter, so
werden beide komplementare Transistoren in
den leitenden Zustand gesteuert und der Drain-
Strom steigt stark an. Erst wenn die Schwell-
spannung des p-Kanal MOS-FET iiberschrit-
ten ist, geht er in den Sperrzustand iiber, und
der Drain-Strom wird wieder zu null.
Die so entstandenen Schaltstromspitzen bela-
sten die Spannungszufuhrung zu den Bauteilen.
102 10^ 104 10^ 10^ 10^ 10^ 10^
Um sie aufzufangen, ist die Verwendung von Eingangsfrequenz f- I Hz
Abblockkondensatoren direkt an den CMOS- Bild 12-16. Leistungsaufnahnh von CMOS-Bautei-
Bauteilen unerlaBlich (Bild 12-15). Auch die len in Abhangigkeit von der Frequenz.
458 12 Digitale Bauelemente

zum Erreichen der Grenzfrequenz nahezu kon- Gatter. Dafiir werden die Funktionen der HC-
stant ist. Allerdings verbrauchen die LSTTL Familie auch noch bei einer Spannung von nur
diese Leistung auch wenn sie nicht geschaltet 2,0 V garantiert, was den Einsatz in batterie-
werden! betriebenen Geraten ermoglicht (dem kommt
auch die geringe Leistungsaufnahme entgegen).
12.1.4 High-Speed-CMOS Die wichtigsten Spannungspegel dieser Bauteile
gibt Bild 12-17 wieder. Der Eingangsspan-
Anfang der 80er Jahre wurde eine neue Genera- nungsbereich des Low-Zustandes ist von 0 V bis
tion der CMOS-Familie vorgestellt, die High- 20% der Betriebsspannung definiert. Bei 5V
Speed-CMOS- Oder //C-Familie. Ziel hierbei entspricht dies ^Lmax = 1?0 V, was einer Erwei-
war es, den geringen Ruheleistungsbedarf der terung gegeniiber LSTTL um 0,2 V entspricht
CMOS-Familien beizubehalten, die Schaltge- (Abschnitt 12.1.1).
schwindigkeit jedoch deutlich zu erhohen.
Dies gelang durch neuartige Technologien bei Ahnlich sind alle anderen Spannungen ebenfalls
in Abhangigkeit der Versorgungsspannung F^c
der Herstellung der MOS-FET-Transistoren.
definiert. Der High-Zustand wird bei Erreichen
Wahrend bei der CMOS-Familie die Source-
von 70% der Versorgungsspannung garantiert
und Drain-Flachen vor der Gatefestlegung her-
(bei 5 V ist ^Hmin = ^'^ ^X wobei der Ausgangs-
gestellt und dabei entsprechende Toleranzen pegel nur 0,1 V darunter Hegt. Gleiches gilt fiir
dazugegeben werden muBten, erfolgt dies bei den Low-Zustand am Ausgang: er betragt iiber
den HC-Bauteilen durch einen selbstjustieren- alle Spannungen maximal 0,1 V. Dementspre-
den ProzeB durch die Technologic der lonen- chend giinstig sieht der Rauschspannungsab-
Implantation. Durch dieses Verfahren konnte stand der Signale aus. Es ist
der Flachenbedarf um etwa ein Drittel vermin-
dert werden. Die damit verringerten Streukapa- = 0,9V,
zitdten (sowohl durch die Platzeinsparung als = 1,4V
'^NM-High
auch durch den wesentlich genaueren Herstel-
lungsprozeB) ermdghchen Schaltzeiten, die de- (diese Angaben gelten fur 5 V Versorgungsspan-
nen der LSTTL-Familie entsprechen. Die maxi- nung). Allgemein gilt fur die Rauschspannungs-
male Flip-Flop-Taktfrequenz Uegt mit ca. abstande der HC-Familie:
50 MHz sogar fast doppelt so hoch wie bei den
LSTTL-Bausteinen (Tabelle 12-1). = 0,2Fcc-0,l,
(12-7)
Die HC-Famihe besitzt, ahnlich wie die '^NM-High = (Fee-0,1)-0,7 Fee.
CMOS-Famihe, einen breiten Versorgungs-
spannungsbereich (Bild 12-4). Die geringere Der Storspannungsabstand ergibt sich aus Gl.
Spannungsfestigkeit (maximal 6 V) resultiert vor (12-6). Auf die HC-Familie angewandt, erhalt
allem aus der verringerten Grundflache der man

4Vbis6V
Versorgungs- "
5h spannung

W ^ 70% \/cc

20%J^cc^____

Spannungsbereich Spannungsbereich Rauschspannungs- Rauschspannungs- Storspannungs-


fijr den Low-Pegel fiir den High-Pegel abstand des abstand des abstand
High-Pegels Low-Pegels

Bild 12-17. Grenzspannungen der HC-Bauteile.


12.1 Logikfamilien 459

typische Eingangsschaltung eines HC-Bausteines Transfer-Charakteristik HC

> 5

100^ 170a
ff
Eingang
i 3
c

I 2
(C 1

i!==M
0 1 2 3 4 5
Eingangsspannung V^^^ I V

Bild 12-18. Eingangsschaltung und Transfer-Charakteristik der HC-Bauteile.


nicht ohne weiteres in alien Bereichen, da die
^HL= 0,7 F e e - 0 , 2 Fa unterschiedHchen Eingangspegel Anpassungs-
(12-8)
^HL=0,5Fcc. probleme mit sich bringen. Dies gilt vor allem
fur den High-Zustand. Dieser ist fiir die HC-
Familie bei 70% der Versorgungsspannung
Bei 5V Betriebsspannung bedeutet dies eine
sichergestellt (fiir 5 V also bei 3,5 V). Die TTL-
Verdopplung des Storspannungsabstandes ge-
geniiber LSTTL. FamiUen garantieren jedoch eine minimale
Ausgangsspannung (worst case) von 2,5 V bzw.
Die Eingangsschaltung eines HC-Bauteils zeigt 2,7 V. Um diese Spannungsliicke zu schlieBen,
Bild 12-18. Zwei Langswiderstande und zwei wurde zusatzUch die HCT-Familie entwickelt.
Dioden, von denen die zweite mit dem Wider- Bei der HCT-Familie steht der Buchstabe „T"
stand verschmolzen ist, bilden eine Schutzschal- fiir den TTLrkompatiblen Eingang dieser Bau-
tung gegen Uberspannung durch statische Auf- teile. Erreicht wird dies durch die Ankopplung
ladung. der Eingangs-FET iiber eine Diode an die Ver-
Die Transfer char akteristik dieses Eingangs ist sorgungsspannung (Bild 12-19).
ebenfalls in Bild 12-18 dargestellt. Die typi- Mit dieser zusatzlichen Anpassung wird die
sche Umschaltspannung liegt bei 2,5 V. Unter HCT-Familie vollstandig kompatibel zu den
ungiinstigen Bedingungen (z. B. hohe Umge- TTL-FamiHen. Allerdings wird durch die zu-
bungstemperatur) kann diese jedoch von 2 V bis satzliche Schaltstufe die Schaltzeit (engl.: propa-
uber 3 V schwanken. Dieses Toleranzfeld ist im gation delay) der Gatter geringfiigig hoher. Auch
Schaubild dargestellt. geht der Storspannungsabstand FHL auf die
Die HC-Familie ist dabei, die sehr verbreiteten Werte der TTL-Bauteile zuriick (FHL = 1.2 V),
LSTTL-Bauteile zu ersetzen. Doch dies gelingt wie aus Bild 12-20 zu entnehmen ist.
a) Schaltung b) Tranfer-Charakteristik HOT

m > 5

3h

Eingang < 2h
•f • * — •

CD 1

tii ^±U 0 1 2 3 4 5
Eingangsspannung V^\f^ I V

Bild 12-19. Eingangsschaltung eines HCT-Bauteils.


460 12 Digitale Bauelemente

4,5 V bis 5,5 V 1


Verso rgungs- ^''cc^giig ^cc-^3,1V
5h spannung iiii iiiiiiiiiiii iiiiiiii
liiiiiiiii i i i illlii 1111
pi i i i i i i i • 1 IIIII II
i i i i i i i i i i i iiiii i i i l i l i i i i iiiii

III III II
lllllll ill

3 h~
1l l l l l l 11lillllil
iiiiiiiiii 11111i i i i i i i i i i ii
WmsMmiMMMm

iiiiiiiiiii i i i i i i i i i i i i i i i i
illilliil III ill
1
0,8
0,4
0
i1 liiiiiii •||-
Spannungsbereich Spannungsbereich Rauschspannungs- Rauschspannungs-
^^^^^^^^M

Storspannungs-
fiir den Low-Pegel 1Fijr den High-Pegei abstand des abstand des abstand
High-Pegels Low-Pegels

Bild 12-20. Grenzspannungen der HCT-Bauteile.

a) Typische Ausgangsstufe der HCMOS-Bauelemente


Eine enorme Steigerung erfahrt jedoch der
Rauschspannungsabstand des High-Zustandes.
Wie bei den HC-Bauelementen, liegt der High- rf^T"
U
Zustand des Ausgangs 0,1 V unter der Versor- - Ausgang
gungsspannung VQQ. Davon abhangig ergibt -Schutzdioden
sich nach Gl. (12-5):
I^MHigh = K)Hmin ~ MHmin -> b) Tri-State-Ausgang
^NMHigh.HCT = ( ^ C C - 0 , l ) - 2 , 0 .

Bei Fee = 5 V wird K^MHigh-Hci 2,9 V. Der Ver-


OR ?
sorgungsspannungsbereich der HCT-Familie
ist auf Grund der TTL-Kompatibilitat einge-
schrankt. Er liegt bei 4,5 V bis 5,5 V und laBt
FT
Ui
? > Ausgang

somit trotzdem eine hohere Toleranz als die > '•Schutz-


dioden
TTL-Bauteile zu (Bild 12-4).
Beispiele der gangigsten Ausgangsschaltungen
fur HC- und HCT-Bauteile (sie unterscheiden
sich nur durch die Eingangsschaltung) zeigt
M
Output Enable (OE)
^

Bild 12-21.
Jeder Ausgang wird durch Schutzdioden gegen c) Open-Drain-Ausgang

statische Uberspannungen von auBen abgesi- \/cc

chert. Die normale Ausgangsstufe (Bild 12-21 a)


1 Ausgang
stellt gleichzeitig eine Inverterstufe dar. Zur '
bestmoglichen Entkopplung von Ein- und Aus- ii

gang werden in der Kegel zwei (nicht invertie-


rend) oder drei (invertierend) dieser komple-
Bild 12-21. Ausgangsschaltungen der HC- und HCT-
mentaren Schaltungen hintereinander geschal- Bauteile.
tet. Um kleinste Schaltzeiten zu erhalten, gibt es
jedoch ein paar wenige Bauteile, die darauf ver- werden kann. Damit besitzt der Ausgang drei
zichten. Sie sind durch ein nachfolgendes U (U: mogliche Schaltungszustande (Tri-State): Low-
unbuffered) gekennzeichnet. Pegel fur die logische 0, High-Pegel fur die lo-
Der Tri-State-Ausgang ist eine funfstufige Aus- gische 1 und hochohmig, was mit dem Buchsta-
gangsschaltung (Bild 12-21 b), die durch eine zu- ben Z umschrieben wird. Der Z-Zustand des
satzliche Steuerleitung hochohmig geschaltet Ausgangs erlaubt mehrere Ausgange parallel
12.1 Logikfamilien 461

auf eine Leitung zu schalten und zusammenzu- LSTTL


fassen.
Den Open-Drain-Ausgang wendet man nur in
sehr wenigen Bauelementen an. Durch das Feh-
S 3i t I
len des komplementaren Transistors kann die-
ser Ausgang nur die beiden Zustande 0 und Z 1 1 I T
einnehmen (wenn der FET gesperrt ist, ist sein
Ausgang hochohmig). Der High-Zustand muB
L I t 1
demnach durch einen externen Widerstand 4,5 5,5 4,5 5,5 4,75 5,25
Versorgungsspannung V^^ I V
(engl.: pull-up) erzwungen werden. Dies kann
zur Pegelumsetzung (wenn der Widerstand an Bild 12-23. Storspannungsabstand bei HC, HCT und
einer anderen Spannung als die Versorgungs- LSTTL.
spannung des Bausteins angeschlossen ist) ver-
wendet werden. biert und die Taktfrequenz bis auf 70 MHz
Da die LSTTL-Bauteile haufig eingesetzt wer- erhoht werden. Diese Advanced-High-Speed
den, soil an dieser Stelle ein kurzer Vergleich CMOS (AC bzw. ACT fur die TTL-kompati-
mit der HC- und HCT-Familie erfolgen. In Bild blen Bauteile)-Familien erreichen damit die
12-22 sind die Rauschspannungsabstande von dynamischen Werte der Schottky-TTL-Famihe.
HC- und HCT-Bauteilen aufgezeigt. Dazu ein- Sie werden in den 90er Jahren die Schottky-
gezeichnet ist der Rauschspannungsabstand der TTL bis auf wenige Ausnahmen vollkommen
LSTTL-Familie (grau). ersetzen, da sie im Ruhezustand ebenfalls nur
wenige Nanowatt benotigen.
Die einwandfreie Funktion der Schaltelemente
Die Schaltungsstruktur der AC/ACT-Bauteile
ist nur dann gegeben, wenn FoHmin grower als
entspricht in den Grundgattern denen von HC/
^Hmin ist. Innerhalb der eigenen Familie trifft
HCT Sie haben dieselben Storspannungs- und
dies immer zu. Bei der Kombination von HCT
Rauschspannungsabstande wie HC/HCT in
und LSTTL wird diese Regel ebenfalls erfiillt
Bild 12-17 und Bild 12-20.
(rechte Seite). Doch bei HC und LSTTL (linke
Seite) ist l^Hmin ^^^ HC-Familie stets groBer als
FoHmin ^^r LSTTL, so daB im worst-case-Fall 12.1.5 ECL
die Funktion nicht garantiert werden kann. Hinter der Abkurzung ECL (Emitter-Coupled-
Diese Einschrankung kommt dem deutlich bes- Logic) verbirgt sich nach wie vor die schnellste
seren Storspannungsabstand zugute. Bild 12-23 verfugbare Logikfamilie. Ihr Hauptmerkmal ist,
verdeutlicht, daB der Storspannungsabstand daB ihre Transistoren im Gegensatz zu den an-
durch die Eingangskompatibilitat von HCT deren Logikfamilien nicht in die Sattigung ge-
und LSTTL gleich sein muB. steuert werden.
1988 kam die Weiterentwicklung der HC/HCT-
Famihen auf den Markt. Durch eine neuartige Die ECL-Familie ist die schnellste Logik-
Doppelmetallisierung konnte die Schaltzeit hal- familie. ECL ist die einzige Logikfamilie, de-
ren Schaltelemente (Transistoren) nicht in
m i l HCT die Sattigung gesteuert werden.
r H i i LSTTL
OHmin ^OHmin
- ^ ^ - ^ Dieser ungesattigte Schaltvorgang hat den Vor-
' 4h
teil, daB keine uberschiissige Ladung abtrans-
IHmin
portiert werden muB, und somit die Schaltzei-
- ten sehr gering werden.
OHmin ^OHmin
<^ t2 o f
S)S) 2 IHmin ^IHmin Vorgestellt wurde diese Logikfamihe erstmals
ILmax
im Jahre 1962 von Motorola. Zu der Zeit wur-
mrnrnmrnm 1/''ILmax den mit der MECLI-Serie (MECL: Motorola
OLmax "^ OLmax
0 ECL) bereits Schaltzeiten von 8 ns und Flip-
4,5 5,5 4,5 5,5
Versorgungsspannung VQQ I V Flop-Taktfrequenzen von 30 MHz erzielt. Die
Bild 12-22. Rauschspannungsabstand bei HC/HCT 1968 vorgestellte MECL Ill-Serie ist auch mehr
und LSTTL. als 20 Jahre spater mit Taktfrequenzen iiber
462 12 Digitale Bauelemente

differenzieller Eingangsverstarker internes komplementare


Kompensations- Ausgange
netzwerk

9\/rr

[J220n [1245^2 n 907 n A = El + E 2 + E 3

50kn
s [a 50 kO 50 kn

ov^,
_^£^E
77912
n
6,1 k O 4 , 9 8 k ^
A = E 1 + E2 + E3

\/cc positive Spannung


V^^ negative Spannung
l/gB Hilfsspannung
El E2 E3 (Bias-Spannung)

Bild 12-24. Differenz-Eingangsverstdrker der ECL-Bauteile.

500 MHz und Schaltzeiten kleiner 1 ns die Der Differenz-Verstarker (an der Bezeichnung
schnellste verfugbare Logik-Familie. „Verstarker" kann man bereits erkennen, daB es
Diese enormen Schaltgeschwindigkeiten erfor- sich um ein nicht gesattigtes Schaltelement han-
dern abgeschlossene Signalleitungen (Trans- delt) hat einen sehr hochohmigen Ausgang. Um
mission-Lines), um tJber- und Unterschwinger 50-Q-Leitungen zu betreiben, wird diesen Diffe-
durch Reflexionen zu vermeiden. Da diese in renz-Verstarkern ein Emitterfolger nach Bild
der Regel sehr niederohmig sind (50 Q bis 12-25 nachgeschaltet.
150 Q), miissen alle ECL-Bauteile in der Lage
sein, eine solche IJbertragungsleitung zu trei-
ben.
Der Begriff emitter coupled („verbundene Emit-
ter") kommt vom Aufbau der Eingangs-Diffe-
OA
renz-Verstarker (Bild 12-24).
Samtliche Eingangstransistoren sind emittersei- komplementare
Ausgange
tig miteinander verbunden. Durch den gemein-
samen Emitter-Widerstand R^ (779 Q) flieBt -OA
stets ein konstanter Strom. Sperren alle Ein- Bild 12-25. Emitterfolger-Ausgang der ECL-Bau-
gangstransistoren (Eingangspegel = 0), so muB teile.
der gesamte Strom durch den Differenz-Transi-
stor T4 flieBen. Dies ist nur moghch, wenn er Durch diese niederohmige Ausgangsschaltung
durchgesteuert ist, wobei seine Kollektorspan- ergibt sich ein hohes Fan-Out fiir die ECL-
nung ebenfalls den Low-Pegel annimmt. Wird Familie. Es liegt bei 25. Dies bedeutet, daB ein
einer der Eingangstransistoren T^ bis T3 durch- ECL-Ausgang bis zu 25 ECL-Eingange an-
gesteuert, so nehmen diese den gesamten Strom steuern kann. Da der Emitter des Ausgangs
auf und T4 sperrt. Der High-Zustand liegt nun innerhalb des Bauteils nicht verschaltet ist,
auch am Ausgang des Differenz-Verstarkers. spricht man von einem Open-Emitter-Ausgang.
Man erkennt: Der Eingangs-Differenz-Verstar- Er erfordert einen externen Widerstand, der
ker wird stets vom Strom I^ durch den Wider- durch den Leitungswiderstand der Ubertra-
stand R^ durchflossen. Diese konstante Strom- gungsgleitung und deren Anpassung gegeben
speisung verhindert Storungen auf den Versor- ist. Um von unnotigen Verlusten abzusehen, hat
gungsleitungen, wie es beispielsweise bei der man auf interne Pull-Down-Widerstdnde ver-
CMOS-Familie der Fall ist. Auch eine statische zichtet.
und dynamische Betrachtung der Stromauf- Bei den ECL-Bauteilen werden in der Regel
nahme von ECL-Schaltungen entfallt. beide Ausgangsmoglichkeiten des Differenz-
12.1 Logikfamilien 463

Verstarkers ausgenutzt. So erhalt man an den einander verbunden. Die schnellsten Schaltzei-
zusammengefafiten Kollektoren der Eingangs- ten erzielt man bei folgenden Spannungen:
Transistoren T^ bis T3 die inverderte ODER-
Verknupfung der Eingange (liegt an einem der FEE = - 5 , 2 V,
Transistoren ein High-Pegel, so da6 er durchge- cc = GND (ground: Masse),
steuert wird, so nimmt das Kollektorpotential 2,0 V.
einen Low-Pegel an). Durch einen nachgeschal-
teten Emitterfolger liegt die negierte Verknup- Eine weitere Besonderheit der ECL-Familie ist
fung am Ausgang. Da samtliche Bauteile ne- ihr geringer Spannungshub zwischen den logi-
gierte und nicht negierte Ausgange zur Ver- schen Zustanden 0 und 1. Er betragt lediglich
fiigung stellen, erubrigt sich ein spezielles 0,8 V. Bei obigen Versorgungsspannungen lie-
Inverter-Bauteil. gen die logischen Pegel bei —0,9 V (High-Zu-
stand) und bei —1,7V (Low-Zustand). Dies
Die ECL-Familie fallt nicht nur durch ihre un- macht bei der gemischten Verwendung mit an-
konventionelle Schalttechnik aus dem Rahmen deren Logikfamihen Pegelumsetzer notwendig
herkommhcher Logikfamilien, sondern auch (Tabelle 12-4). Bild 12-26 zeigt die Spannungs-
durch ihre Betriebsspannungen. Wahrend die bereiche der ECL-Bauteile.
ersten ECL-Bausteine noch externe Schaltun- Der sehr niedrige Spannungshub am Ausgang
gen fur Hilfsspannungen (Bias-Spannungen) be- hat einen verminderten Storspannungsabstand
notigt haben, sind diese bei den Mitte der 70er zur Folge. Bei hochohmigen Leitungswider-
Jahre erschienenen 10 KH (Motorola)- und standen wiirde standig die Gefahr durch Sto-
100 KH (Fairchild)-Familien im Bauteil inte- rungen bestehen, wie beispielsweise Uberspre-
griert. Dennoch sind fur den optimalen Betrieb chen und Schaltspitzen. Aus diesem Grund ist
der Bauteile folgende drei Spannungspotentiale es wichtig, niederohmige Leitungen zu benutzen.
notwendig:
Bei Leitungsimpedanzen von 50 Q bis 150 Q
FEE • negative Spannung des ECL-Bauteils haben Storeinstreuungen dann nur noch einen
Fee- positive Spannung des ECL-Bauteils geringen EinfluB.
Fge! Hilfsspannung (Bias-Spannung) fiir Ab-
schluBwiderstande. 12.1.6 Schaltzeichen und Gehauseformen
Dabei unterscheidet man oft noch zwischen Die Wiedergabe der verschiedensten Schaltun-
VQQ^ und VQC2 ' ^ni den Emitterfolgern am Aus- gen auf einem Chip faBt man durch ein Schalt-
gang eine getrennte Spannung zur Verfiigung zu symbol zusammen. Dabei bedeuten die Schalt-
stellen. Da sich aber die hochste Storunemp- symbole sowohl einfache Gatterschaltungen
fmdlichkeit ergibt, wenn V^Q auf Massepotential (z. B. UND, ODER, EXOR) als auch komplexe
liegt, werden in der Regel beide Anschliisse mit- Funktionen, wie beispielsweise Zahler, Addie-

Spannungsbereich Spannungsbereich Rauschspannungs- Rauschspannungs- Storspannungs-


fijr den Low-Pegel fiJr den High-Pegel abstand fur abstand fiir abstand
den High-Pegel den Low-Pegel

-0,81 V
-0,8
-0,98V -1,095V
-1,0
-1,095V -1,095V

-1,2 -

-i,4h -1,485 V -1,485V


-1,485 V
-1,6
-1,60V

-1,85V

Bild 12-26. Grenzspannungen der ECL-Familie.


464 12 Digitale Bauelemente

rer bis hin zu Mikroprozessoren. Die Vielfalt


der Schaltsymbole wird dabei standig durch ^
o
neue Bauteile erganzt. T—I

o
Zur Vereinheitlichung alter und neuer Symbole
wurde Mitte der 70er Jahre in den USA von
der International Electrotechnical Commission
(lEC) eine sehr zweckmaBige Symbolsprache
Ooooo
entwickelt, die das Deutsche Institut fur Nor- "S oooo C/^OOQO
w hJ h-^ O O U H J C/3fiH O
mung (DIN) iibernommen hat. Das Basisele- xr T f T t ^
ment fur jede Funktion ist hierbei ein Rechteck, PQ
^ ^
r^ r-^ ^
r- i> r- t^ r-

das auf einer Seite (in der Kegel links) samtliche


Eingange zusammenfaBt und auf der gegen-
e OOooO
00

C/5 SSH
uberliegenden die Ausgange. Die angegebene 'a o Uu^ UUU
en K<ffi ffi<^
Funktion wird durch entsprechende Kurzzei- 'S -^
r- r-'^'^
^ ^ T i -
PQ t^ r- r- r-
chen beschrieben (Tabelle 12-7).
AuBer dieser streng reglementierten Symbolik
finden in Deutschland noch zwei weitere Sym- 1

bolreihen Anwendung. Dies sind vor allem die "53 >^ o o o ^


4 3 <U
amerikanischen Symbole, die auf Grund standig >H 1 ^ O PQ O ^ OT-H

steigender, computerorientierter Entwicklungs-


methoden weite Verbreitung erlangt haben. Die II < 1 O^ " ^ o o ^ ^
Schaltzeichen der modernen Entwicklungs-
werkzeuge (Computer Aided Engineering, CAE)
entsprechen heute nur zum Teil dem Symbol- >^ PQ
II <
satz nach lEC bzw. DIN.
II
Parallel hierzu setzten sich in Deutschland auch \>^
die sogenannten „Brotchen"-Symbole nach der '5b 5ri II
veralteten DIN-Norm DIN 40170 durch. Sie <
a
unterscheiden sich vor allem bei den Gatterbau- ,o
'+->
steinen, bei denen, ahnhch wie die amerika- ^ > >
nische Symbolik, verschiedene grafische Ele- t^
: 43 1 ^1
1
A
O
mente verwendet werden. Fiir viele ist danach
heute noch die Bezeichnung der Bauteile und 0
die Angabe von Stromlaufen iibersichtUcher, a
was ein Aussterben dieser veralteten Symbole C/3 1 < CO

bislang verhindert hat. ^C j <


In Tabelle 12-7 bis 12-9 sind die wichtigsten ^o 1

Schaltzeichen integrierter Schaltungen zusam-


mengestellt. In Tabelle 12-7 und 12-8 sind zu-
dem noch die amerikanischen Symbole sowie W)
B
o
A1 A
die Symbole nach DIN 40170 (veraltet) einge-
U^
(D
T3
<D

"7i*-^ 1
TT 11
tragen. Vertreter aus den wichtigsten Familien G
(L>
r^
1
fmden sich in den Tabellen ganz rechts. ^O •^
o
X
1
Die meisten Bauteile werden in unterschied- D B u
^ W ^ 63
hchen Gehauseformen angeboten. Die haufigste C^
i/3

'c3
Bauform heute ist das Dual-In-Line (DIL)-Ge-
hause. Fiir die digitalen Schaltkreise hat es in
seiner kleinsten Ausfuhrung 2 x 7 Pins (An-
C/5

t
00 5 1 IT
<N
schlusse), wobei von einem DIL14-Gehause
gesprochen wird. Das RastermaB der Pins 1J
U
>
;:3
(Pinabstand) betragt dabei 2,54 Millimeter Xi
fc C
<
(1/10 Inch). DIL-Gehause werden bis zu hoch- H
12.1 Logikfamilien 465

o ^
O <N <N
ooo
UUO

m c/5 (N
O 00 ^ O c/:i oo
O hJ C/5

r^ t^ r^ i^

o ^ 00 ^
8 oo Hp oHoo

>^ o o o o o o o ^ >^
> ^ ^ ^ o >^ O ^ TH ^ >^ ^ o o o > o ^ ^ o u O ^ O ^ O - H O ^ u o ^ o ^ o ^ o ^
m o ^ o ^ m o ^ o ^ PQ o ^ o ^ m O ^ O T-i PQ o o ^ ^ o o ^ ^ PQ o o ^ ^ o o ^ ^
< oo ^ ^ < o o ^ ^ < o o ^ ^ < o o ^ T^ < o o o o ^ ^ ^ ^ < O O O O ^ ^ T - H ^

u +
PQ PQ PQ PQ
+ e PQ
+
< < < < < <
II II II II
II

A
< QQ < QQ CJ

£t^

Q
< O
o 1Q O
<iHP^
O X rAO
w
466 12 Digitale Bauelemente

Tabelle 12-8. Schaltzeichen fiir Flip-Flops und Zahler (MSI-Bauteile).


Funktion Schaltsymbolik
DIN/IEC amerikanisch

A.
PRE—I^ D-

D-Flip-Flop
CLK > CLK-
D
CLR—t^

J-

J-K-Flip-Flop CLK-
(Master-Slave-FF)
K-

T
R

CxJT Cx
Rx/Cx
monostabile Kippstufe "&1
-RR —r Qb-
-dCLR

CTRDIV16
(1) r^ 5CT=0
( 9 ) ^ Ml

(10) r' 3CT-15


(15)
il 111
PEPQ
Pi P2 P3
G3 CEP
4-Bit-Synchronzahler (7)
GET TC
G4
(2)
>C5/2,3,4 CP
(3) (14) 1 R QoQi Q^ Q3
1,5D 111
(4)
[2]
(13) 9 ! 1
(12) I I I I I
(5)
[4]
(6) (11)
[81

CTRDIV10
H) r> 5CT = 0
( 9 ) ^ Ml

(10)
(15) 11
PE Po Pi P2 P3
4-Bit-Dezimalzahler (BCD) ENT ICEP
(7)
ENP G4 |CET TC
(2)
CLK >C5/2,3,4 CP
(3) (14) R Qo Qi Q2 Q3
A 1,5D [1]
(4) (13)
B
C
(5)
121
141
(12) ?l II I
(6) (11)
(81
12.1 Logikfamilien 467

Zustandtabelle Beispiele fiir Bauteile

CMOS TTL ECL

Ausgange 74HC74 74LS74 MC10131


Eingange 74 ACT 74 74F74 MC10H176
PRESET CLEAR CLOCK D 74HC175 74LS374 MC 10176
Q Q 74 AC 175 74LS574 MC 10231
H X X H L 74HC374
L
H L X X L H
L L X X HI HI
H H T H H L
H H tL L L H
H H X Qo Qo

74HC112 74 LSI 12 MC1670


Eingange Ausgange 74 AC 112 74S112 MC 10135
K 74HC113 74 AS 112
PRE CLR CLK J Q Q 74HC114 74LS113
L H X X X H L
H L X X X L H
i L L X X X H* H*
H H i L L Qo Qo
H H i H L H L
H H i L H L H
H H i H H TOGGLE
H H H X X Qo Qo

Eingange Ausgange 74HC123 74LS123


74HC221 74LS221
nA nB nQ 74HC423
nRo nQ
L X X L H
X H L L H
X X L L H
H L t TL ~Lr
H i H J-L i_r
T L H -TL "LT

Betriebsarten 74HC161 74LS161 MC 10136


Steuersignale Betriebsarten 74HC163 74 LSI 63
74HC191 74LS191
SR* PE CET CEP 74HC193 74LS193

L X X X Reset (Clear)
H L X X Load ( P „ - O J
H H H H Count (Increment)
H H L X No Change (Hold)
H H X L No Change (Hold)
* For 162 only; H = HIGH Voltage Level; L = LOW Voltage Level; X = Immaterial

74HC160 74 LSI 60 MC 10137


Steuersignale Betriebsarten 74HC162 74 LSI 62
74HC190 74 LSI 90
SR* PE CET CEP 74HC192 74 LSI 92

L X X X Reset (Clear)
H L X X Load (Pn-^OJ
H H H H Count (Increment)
j H H L X No Change (Hold)
H H X L No Change (Hold)
468 12 Digitale Bauelemente

O r- CM CO ^ lo <o r-
O O O O O O O O

O) o *" 00 ^ in to r^

00 t>D>[>[>>[>l>[>
<
CO
X <<<<<<<<
00
00
1
CM 1 ^ z
CM
O oS ai
Q-
2 <
(J A
\- V d6
^ o o>
/ I ...
CO CM ip-'
Oi 00 o
00 r^ (O lO en CM CM CM CM CM
** -
Or-cMco-^if>cor^ooa)|^rocM|«-
< < < < < < < < < < looole?

O
cc
^J c
c^ (D
^<D ^ UJ > 3
PQ F
07
CM

A ^
h-^
C/) 0 Q|
HJ 3 P c>j ;>CL CM ^ -p
CM
66
co'
> 13
u. CM
Tl 0 3»-CMC0^mCDr^00O«-CM
JZ OCOCOCOCOCOCOCOCO"'* ^ ^
J N N N N N N N N N N N

C/5 11 TU
or-cMco-^tncor^ oo|(/)|> Q CM CO ' ^
a ICO
lo <
Ice
<<<
S IQCICCIQC

la
O CD

r—
H
o X
C>l CO
CO
CM

5 ^ i"
1
f^
S s?
Z
O 5^>
43
CO
< i 1 Z CM
o cc / /\
< oS
00
o
\
r^ 1
1 t— ^"_J
JL H

CM
lO
r^ o>
o t
1 ^ J.
/
CO ""t
Zl—
ir>
,
CM C

_ cgco"^inco. , . , .
< < < < < < < < ICO ICO ICO I

t2
12.1 Logikfamilien 469

aaaaaaaa

00
[> O I> [> !> I> [> [>
X
00
(O
<<<<<<<<
1 f^
1^ Z
CN (O Z
o r«.^ Q m 1
CO

\^
o 1^
CN

a. ^
< >
66
|UJ O »•


>
o
o
O) 00 p CO LO ^ CO
LO
CNJ CN
CO
CNJ CM CM 0*4
eg
CN

O » - C M CO • ^ IT) CD 1 ^ 0 0 <J5 O r - C M CO'sl-lUJ IfH


< < < < < < < < < < r<:r<:r<:r
<:r
<:

1^ • ^
^_
CO
o CM
N
CM"" -~.
00 O
00
.1
V
CO >
CO
CM Z
<
u. LU
CD < h
CM
ID
CM / A _ I 2
> CO > -^
00 > LU < -1
!^ o Q o Qc b o
^ r- CM - ^ UU DC - -
"-CM ^ O QC ^ »- Q
la Q
§ 5 u ge^u Tf CNl
CM
CM
«-
CO

^ S A 3 ;^ Ao
CO
CNJ
<>

CM Lf) r^
I I [
r^
t -*1 t J D CO CO
.
o CO lO
•t lO r-> 00 ^ Cvj CM cM CO col CM CM CM


' —
' '"^—
' — '
• — • ' — • ' — •

O ^ C M O O - ^ l D C D r ^ O O IC/) ICO r- CMOO-^LDCDr^OO

<<<<<<<<< < < 15 a


Q
CO
Q Q Q Q Q Q Q
aaooo
loc lo

r- CM CO '^

h A

O t- CM CO ILLI lUJ «—

< < < <|2|^ Q


470 12 Digitale Bauelemente

Chip-Trager Chip
Chip-Trager Chip RRRHRRRRRR.
Chip-Trager Bond-Drahte

Lotrahmen Decl<el

Orientierungs Pin
1110 9 8 7 6 5 4\ 3 2 1
©©©©©©©V 9® ^
© © © © © © © © ) £)0®
©0 (&©©
0® ®®
0© ®®
00 ®©
0© ®©
0® ®®
©0 ®®
©00©©©©©©©©
i ©0©©0©©0® .

Bild 12-27. Gehduseformen integrierter Schaltungen.

stens 68 Pins eingesetzt, da sonst die Gehause- scheidet man zunachst in nicht fliichtige Spei-
groBe nicht mehr ausreicht und die Gehause- cher und fliichtige Speicher. Fliichtige Speicher
kosten zu hoch wiirden. Hochkomplexe Bau- verlieren ihren Inhalt, wenn die Versorgungs-
teile (mit mehr als 100 Pins) besitzen daher ein spannung abgeschaltet wird. Sie werden als
Gehause, auf dessen Unterseite die Pins in RAM (Random Access Memory) bezeichnet
einem Feld angeordnet sind {PGA-Gehduse, Pin und ermoglichen dem Benutzer Daten sowohl
Grid Array). Auch PLCC-Gehause (Plastic auszulesen als auch einzuschreiben.
Leaded Chip Carrier) lassen durch einen Pin-
abstand von 1,27 Millimeter (1/20 Inch) an Fluchtige Speicher verlieren ihren Speicher-
alien vier Kanten sehr hohe Pinzahlen zu. In- inhalt nach dem Abschalten der Versor-
zwischen werden diese PLCC-Gehause auch fur gungsspannung. Sie werden als RAM (Ran-
die Gatterbauteile angeboten. Diese erlauben dom Access Memory) bezeichnet.
auf Grund ihrer kompakten Bauform eine hohe
Bestuckungsdichte auf den Leiterplatten. In
Bild 12-27 sind die wichtigsten Gehauseformen Nicht fluchtige Speicher behalten ihre Informa-
aufgezeigt. tion unabhangig von der Betriebsspannung.
Diese Information ist bei der Herstellung oder
Flat'Pack-Gehduse werden vor allem in der durch eine spezielle Programmierung in das
SMD-Technik (Surface Mounted Device) einge- Chip eingeschrieben worden und kann nur in
setzt (Abschn. 1.9.3). Sie ermoglichen hochste besonderen Fallen geandert werden. Aus die-
Packungsdichten auf den Leiterplatten und ge- sem Grund kann die Information im Betrieb
wahrleisten auch durch ihre kurzen Verbin- nur ausgelesen werden. Man spricht in diesem
dungswege ein HochstmaB an Storsicherheit. Fall von einem Read-Only-Memory, kurz
ROM genannt.
12.2 Speicherbauteile
Ein nicht fluchtiger Speicher (ROM) kann
und Speicheraufbau nur ausgelesen werden (Read Only Memory).
Fiir den Aufbau von Rechnern sind die Speicher
mit die wichtigsten Bauelemente. Dabei unter- Die unterschiedlichen ROM-Famihen werden
12.2 Speicherbauteile und Speicheraufbau 471

ihren Eigenschaften entsprechend unterschied- 12.2.1 Fliichtige Speicher


lich programmiert (Abschn. 12.2.2). Bild 12-28
zeigt eine Ubersicht iiber fliichtige und nicht Fliichtige Speicher sind RAM-Bauteile. Sie er-
fliichtige Speicher sowie iiber einige Sonderfor- lauben dem Benutzer den wahlfreien Zugriff
men. (engl.: random access), um entweder Daten aus-
zulesen oder einzuschreiben. Grundsatzhch
Die GroBe der Speicherbauteile wird durch die
werden zwei Arten von RAM-Bauteilen unter-
Anzahl der Speicherzellen angegeben. Da jede
schieden: statische RAM-Speicher und dyna-
Speicherzelle 1 Bit (Binary Digit), also die bei-
mische RAM-Speicher.
den Zustande „0" und ,,1" abspeichern kann,
erfolgt diese Angabe in Bit. Bei Speichern mit
mehr als tausend Speicherzellen spricht man Statische RAM-Speicher
von kBit (kilobit) oder gar von Megabit, wobei Die Speicherelemente der statischen Speicher
gilt: sind Flip-Flop-Speicherkerne. Ein Flip-Flop
(kurz FF) ist eine bistabile Kippstufe, welches
IkBit = 2^0 Bit = 1024 Bit, (12-9) die beiden Lagen „0" und „1" in Abhangigkeit
1 MBit = 1 kBit X 1 kBit = 1048 576 Bit. einer Steuerleitung einnehmen kann (bistabil).
Damit reprasentiert das Flip-Flop den gespei-
cherten Zustand der Steuerleitung. Der Zu-
SpeichergroBen in Rechnersystemen werden stand der Speicherzelle bleibt solange erhalten,
dagegen in Byte angegeben (1 Byte = 8 Bit). So bis eine andere Information eingeschrieben
werden fiir einen Speicher mit 64 kByte GroBe oder die Versorgungsspannung abgeschaltet
acht Bauteile zu je 64 kBit benotigt. wird. Solange dies nicht geschieht, bleibt der
Speicherzustand unbegrenzt (statisch) erhalten.
Die GroBe der Speicher in Rechnersystemen Der Vorteil einer solchen Speicherzelle liegt
wird in Byte angegeben. darin, daB sie sehr schnell ist. Wird sie gar in
einer bipolaren Technologie ausgefuhrt (TTL
oder ECL), so werden Zugriffszeiten von 10 ns
erreicht.
Speicher-
Zuordnung Technologie
familie
fliichtige Speicher Unter Zugriffszeit (engl.: access time) ver-
)ipolar W
HIJTL steht man die Zeit, die das Bauteil nach dem
Stat. RAM ^ ECL Anlegen der Adressen fur die Bereitstellung
RAM
CMOS der Information an den Datenausgangen be-
>^ CMOS notigt.
IH:
1
dyn. RAM
HL_ NMOS

nicht fliichtige Speicher In der Zugriffszeit sind demnach auch die


NMOS Durchschaltzeiten der Adrefidekodierungen ent-
Mask ROM
DT rCliL
halten. Bild 12-29 zeigt die Grundelemente der
Dipolar |-] wichtigsten Speicher.
L-l ECL
PROM Bild 12-29 zeigt hnks eine bipolare 2-Transi-
NMOS
ROM stor-Speicherzelle. Die Multiemitter-Transisto-
NMOS ren erlauben die Anwahl (Aktivierung) dieses
EPROM
y\ CMOS einfachen Flip-Flop-Kerns. Ist eine der An-
NMOS
steuerleitungen (X oder Y) oder beide auf Null-
EEPROM
M CMOS
Volt-Potential, so konnen die Emitterstrome
gegen Masse abflieBen, und die Speicherzelle ist
II deaktiviert. Erst wenn beide Leitungen auf ,,1"
H: NV-RAM
sind, kann der Emitterstrom iiber die Datenlei-
I M Dual-Port-RAM | I tung D„ abflieBen. Der Nachteil bipolarer Spei-
Mhybride Speicherl I cher ist ihr enormer Strombedarf (Abschn. 12.1,
TTL und ECL) und die damit verbundene War-
Bild 12-28. Ubersicht iiber Speicher. meentwicklung. Sie sind deshalb nur bis zu ei-
472 12 Digitale Bauelemente

a) Statische Speicherzelle b) Statische MOS-Speicherzelle c) Dynamische Speicherzelle

bipolare Multiemitter-Speicherzelle 6-Transistor-Speicherzelle 1 -Transistor-Speicherzelle

WL- (?Vr, Bit Sense Line

WL-
^1 \i^ -J
i^^i-4 IJ"^
Gate-Substrat-
Kapazitat
0 ^
GND

Bild 12-29. Grundelemente der wichtigsten Speicher.

ner GroBe von ca. 16000 Speicherzellen gungsspannung die Daten erhalten bleiben. In
(16kBit) verfiigbar. diesem Standby-Mode nehmen die Bauteile nur
Ein wesentlicher Fortschritt bei der Energie- wenige jiW Leistung auf (Abschn. 12.2.3, Non
bilanz wurde mit der 6-Transistor-Speicherzelle Volatile RAM).
in CMOS (Abschn. 12.1.3) erzielt. Auch sie
stellt ein Flip-Flop dar, welches iiber die Daten- Dynamischer RAM-Speicher
leitungen D^ gesetzt und ausgelesen werden AuBer diesen Flip-Flop-Speicherelementen eig-
kann (Bild 12-29, Mitte). Aktiviert wird der nen sich auch Kondensatoren zum Speichern
Speicherkern durch die Wortleitung WL, an die von Informationen. Dieses Prinzip wird bei den
mehrere Speicherzellen angeschlossen sind. So dynamischen RAMs angewandt. Sie speichern
konnen Speicher 8 Bit breit organisiert werden ihren Inhalt in der Gate-Kapazitdt durch einen
und stellen in einem Schreib-Lesevorgang ein Transistor (1-Transistor-Speicherzelle). Die sehr
Byte (8 Bit) zur Verfugung. Heute sind bereits geringen Abmessungen dieses Kondensators er-
mehr als 1 Million solcher Speicherzellen auf moghchen nur sehr kleine Kapazitaten von we-
einem Chip integriert, was ca. 6 Mio. Transi- nigen femti Farad (fF: 10~^^F). Aus diesem
storfunktionen entspricht. Die Grenzen fur eine Grund muB der Ladungsabflufi so gering wie
noch hohere Integration sind dabei die bis mogUch gehalten werden. Eine bipolare Losung
heute erreichte maximale Chipflache und die ist deshalb undenkbar, weil die Leckstrome der
immer feiner werdenden Strukturen auf dem Transistoren zu groB sind. Deshalb werden
Chip. (1,0 {im und 0,8 jim feine Strukturen sind dynamische Speicher ausschlieBhch mit MOS-
bei CMOS bereits Stand der Technik.) Transistoren gebaut (Bild 12-29, rechts). Trotz-
Statische Speicher werden verwendet, wenn dem laBt sich ein LadungsabfluB nicht ganzlich
hohe Geschwindigkeiten und kein allzu gro- vermeiden und die Speicherzelle muB periodisch
Ber Speicherbedarf erforderlich sind (kleiner „aufgefrischt" werden. Dies geschieht etwa alle
256 kByte). Ein typisches Beispiel dafur sind 10 ms. In dieser Zeit kann selbstverstandlich
Zwischenspeicher in Rechnersystemen, soge- kein Zugriff auf den Dateninhalt erfolgen, wes-
nannte Cache-Speicher, die bei einer GroBe von halb die Speicherzellen im Durchschnitt lang-
32 kByte eine Zugriffszeit von weniger als 14 ns samer werden. Eine Steuerlogik (engl.: refresh
besitzen. Dies ist nur durch den Einsatz bipola- logic) sorgt dafur, daB es zwischen dem Auffri-
rer statischer RAM-Bauteile moglich. CMOS- schen der Speicherzelle und dem Datenzugriff
RAM-Speicher hingegen bieten wegen ihres ge- keine Kollisionen gibt.
ringen Ruhestroms und durch einen speziellen Durch die Reduzierung der Speicherzelle auf
Standby-Mode (das Bauteil liegt an der Versor- nur noch einen Transistor ist der Platzbedarf
gungsspannung, wird aber nicht aktiviert) die gegeniiber der statischen Speicherzelle drastisch
Moglichkeit, batteriegestiitzt betrieben zu wer- gesunken. Hochste Packungsdichten sind mog-
den, so daB nach dem Ausschalten der Versor- lich, so daB heute bereits Bauteile zur Verfu-
12.2 Speicherbauteile und Speicheraufbau 473

gung stehen, die mehr als 9 Mio. solcher Spei- bei der Herstellung des Speichers oder durch
cherzellen auf einem Chip vereinen. Derartige spezielle Programmiergerate im Labor (Aus-
Speicher werden vor allem in groBen Massen- nahme: EEPROM). Dies macht bereits deut-
speichern eingesetzt, da sie trotz zusatzlicher lich, daB die Informationen in dieser Art von
Ansteuerlogik (fiir die Auffrischung der Spei- Speichern im Betrieb nur ausgelesen werden
cherzellen) sehr preisgiinstig sind. konnen, weshalb man von einem Read-Only-
Der Aufbau des Speichers auf dem Chip erfolgt Memory (ROM, Nur-Lese-Speicher) spricht.
in einer XY-Matrix. Dadurch kann mit Hilfe Die interne Organisation der Lese-Speicher er-
eines Dekoders jede Speicherzelle angespro- folgt wie bei den RAM-Speichern in einer XY-
chen werden. Bei Speichern, die byteweise orga- Matrix (Bild 12-30). Die Speicherkerne sind je-
nisiert sind (es werden immer acht Speicher- doch durch Speicherelemente der verschiede-
zellen auf einmal angesprochen), entfaUt die nen ROM-Familien zu ersetzen.
X-Dekodierung auf der letzten Ebene, wie Bild
12-30 zeigt. Mask-ROM
Jede dieser Matrizen kann sich ihrerseits in Beim Mask-ROM wird der Speicherinhalt wah-
einer iibergeordneten XY-Matrix befinden, wo- rend des Fertigungsprozesses durch den letzten
durch eine enorme Speicherkapazitat erzielt Herstellungsschritt festgelegt. Dabei wird eine
wird. Die Kontroll-Logik steuert den AdreBbuf- Maske aufgebracht, durch die nur bestimmte
fer sowie die Datenbuffer. Sie werden bei einem Verbindungen auf dem Chip zugelassen werden.
Schreibbefehl als Eingangsbuffer geschaltet und Der Speicherinhalt wird so nach den Angaben
bei einem Lesebefehl als Ausgangstreiber. des Entwicklers direkt bei der Chipherstellung

-D1

-D2
-D1 -D3

XI •
fCHfCHfCHm Daten-
Leitung
XI -
-D4

X2- MMM ^ X2-

X3-
CHfTHfCH m X3-

X4- EHS E^ Speicher-


zelle X4- S ^ ^ ^

Y1 Y2 Y3 Y4

1 bitweise organisierter Speicher 4 bitweise organisierter Speicher

Bild 12-30. Aufbau einer XY-Speichermatrix.

12.2.2 Nicht fliichtige Speicher mit eingebracht. Dieses Verfahren wird nur bei
sehr groBen Stiickzahlen und verhaltnismaBig
Statische und dynamische Speicher zahlen zu kleinen Speichern (kleiner als 64kBit) ange-
den fluchtigen Speichern, da sie ihren Inhalt wandt.
verlieren, wenn die Versorgungsspannung abge-
schaltet wird. Nicht fliichtige Speicher behalten
PROM
dagegen ihre Information, auch wenn keine Be-
triebsspannung vorhanden ist. Dazu muB der Der PROM-Speicher (Programmable Read
Speicherinhalt durch einen von der Versor- Only Memory) kann vom Benutzer selbst ein-
gungsspannung unabhangigen ProzeB in das mahg programmiert werden. Er hat in seinen
Speicherchip geschrieben werden. Dies erfolgt Speicherzellen kleine Sicherungen (engl.: fuse-
474 12 Digitale Bauelemente

Ai-[r^ brannt, sondern kann mit Hilfe von ultraviolet-


A2-[T^ tern licht wieder geloscht werden. Das Program-
Speicherelement mieren erfolgt durch das Einbinden „heiBer"
A3-(T^ mit Sicherung Elektronen in die offene (isolierte) Basis des
A4-[jJ: 4-Bit- Zelltransistors, die bei einer Programmierspan-
Wortleitungen
nung von 12,5 V entstehen. Durch die kapazitive
AAAA Bindung an diese Basis wird zusatzliche Ladung
gebunden, was die Schwellspannung des Transi-
stors verandert. Geloscht werden kann dieser
A A Vorgang nur durch ultraviolettes Licht, das
-S- durch seine energiereiche Strahlung die Elek-
A tronen liber diese Schwellspannung befordert.
Dazu besitzen die EPROM auf der Oberseite
-nn- A des Keramik-Gehauses ein kleines Fenster,
unter dem direkt das zu bestahlende Chip zu
A sehen ist. Im Betrieb wird zur Vermeidung von
& Datenverlusten dieses Fenster zugeklebt. Als
a- A Sonderform werden bei Kleinserien auch
a- Kunststoffgehause verwendet, die kein Fenster
a- A haben, somit billiger sind, aber nicht mehr ge-
loscht werden konnen.
Die EPROM-Speicher sind heute die Massen-
speicher fur Steuerrechner. Sie sind durch kom-
fortable Programmiergerate einfach zu pro-
s- grammieren und durch die Herstellung groBer
Stiickzahlen preiswert. Immer verbesserte Tech-
Dekodier-Matrix Ausgangsbuffer nologien bieten heute schon die Moglichkeit,
I I I I 8 Megabit auf einem Chip unterzubringen. Das
D1 D2 D3 D4 bedeutet, daB bereits ein Bauteil einen Speicher-
Bild 12-31. Aufbau eines einfachen PROM-Spei- bereich von 1 Megabyte zur Verfugung stellen
chers. kann.

hnks), die die Speicherzellen auf dem „r'-Zu- EEPROM


stand halten. Soil eine „0" einprogrammiert
Das EEPROM oder E^PROM (Electrically
werden, so wird diese Sicherung durch einen
Erasable Programmable Read Only Memory)
definierten Programmierstrom beim Program- basiert auf demselben Prinzip wie das EPROM.
mieren zerstort (engl.: blow up). Bild 12-31 zeigt Die Ruckfuhrung der „heiBen" Elektronen er-
eine einfache PROM-Speicherzelle im unpro- folgt jedoch nicht durch ultraviolettes Licht,
grammierten Zustand (die Sicherungen sind sondern durch eine Loschspannung, die ein
durch eine WellenUnie dargestellt). Uberschreiben und Loschen des Bauteils im
AuBer den NMOS-PROM-Speichern (geringer eingebauten Zustand ermoglicht. Dieses Riick-
Strombedarf) sind PROM-Speicher auch in den setzen des Bauteils kann nicht beliebig oft erfol-
bipolaren Technologien TTL und ECL (vgl. gen. Etwa 10000 Schreibzyklen werden heute
Abschn. 12.2 und Bild 12-28) erhaltlich. Diese erreicht. Danach sollte das Bauteil ausgetauscht
sehr schnellen Speicher (Zugriffszeit unter werden, da die Datensicherheit nicht mehr ge-
12 ns) werden deshalb auch fiir die Kodeumset- wahrleistet ist.
zung Oder Datenkonvertierung benutzt.
Eingesetzt werden die EEPROM-Speicher
dort, wo anlagenspezifische Daten gehalten wer-
EPROM
den miissen. Dies ist beispielsweise bei der Sen-
Im Gegensatz zum PROM ist der Inhalt des dereinstellung von Autoradios der Fall oder bei
EPROM-Speichers (Erasable Programmable der Speicherung von Kodenummern zur Benut-
Read Only Memory) nicht irreversibel einge- zeridentifikation in Sicherheitssystemen.
12.2 Speicherbauteile und Speicheraufbau 475

12.2.3 Sonderformen von Speicher- dafiir ist, daB beide Seiten nicht dieselbe Spei-
bauteilen cherzelle anwahlen. In diesem Fall tritt die
Arbitrator-Logik in Kraft, die dem ersten Zu-
Die industriellen Anforderungen nach Schnel- greifer Vorrang einraumt und dies dem zweiten
ligkeit und spezifischen Speicherlosungen ha- durch ein Steuersignal mitteilt (engl.: Busy-
ben zur Entwicklung einer ganzen Reihe von Signal). Dieser muB dann seinen Zugriff auf
Sonderbauteilen gefuhrt, vor allem bei den diese Speicherzelle wiederholen.
RAM-Speichern. Die beiden wichtigsten Son- Dual-Port-RAM-Speicher gibt es heute bereits
derformen sind dabei das Dual-Port-RAM und in einer GroBe von mehreren kBytes. Sie wer-
das Non Volatile RAM. Dariiber hinaus soil den dabei als Briefkastensystem fur den Daten-
auch noch kurz die Entwicklung hybrider Spei- austausch unterschiedlicher Rechner benutzt.
cherbauteile angesprochen werden. So konnen beispielsweise Zwischenergebnisse
einer mathematischen Prozessorkarte abgelegt
Dual-Port-RAM werden, die anschlieBend von einem weiteren
Rechner zur Weiterverarbeitung oder grafi-
Wie aus der Bezeichnung bereits hervorgeht,
schen Darstellung abgeholt werden. Dabei ar-
handelt es sich dabei um einen Speicher, auf
beiten beide Rechner vollig unabhangig vonein-
den uber zwei Schnittstellen zugegriffen werden
ander.
kann (Dual-Port-RAM = Zwei-Tor-Speicher).
Somit konnen beispielsweise zwei Rechnersy- Sollen mehr als zwei Rechnersysteme auf ein
steme auf ein- und denselben Datensatz zugrei- und denselben Speicher zugreifen, so werden
fen. Bild 12-32 zeigt den Grundaufbau eines diese Mehr-Tor-Speicher (z. B. Drei-Tor-Spei-
Dual-Port-RAM-Speichers. cher oder Vier-Tor-Speicher) i. a. konventionell
Der Zugriff iiber die beiden Schnittstellen wird und durch eine externe Arbitrator-Logik aufge-
dabei von einem „Schiedsrichter" (engl.: arbi- baut. Das Problem ist dabei nicht die Integra-
trator) liberwacht. Beide Seiten konen gleichzei- tion auf einem Chip, sondern vielmehr die
tig auf dem Chip aus einer behebigen Speicher- Unterbringung der erforderlichen AnschluB-
zelle lesen oder in sie schreiben. Voraussetzung pins des Bauteils (pro Zugriffstor sind beim
1-kByte-Speicher 22 Pins notwendig).
Chip-Grenze
Non-Volatile-RAM
Arbitrator- Den Nachteil des Datenverlustes bei RAM-
Logik Speichern kann man durch eine zusdtzliche Bat-
terie vermeiden, da diese nach dem Abschalten
der Versorgungsspannung das Bauteil weiter
^u ^ WR2-
versorgen kann. Dabei spricht man von einem
batteriegepufferten Speicher. Im Zuge der Mi-
niaturisierung wurden RAM-Bauteile entwik-
kelt, die auf der Oberseite unter einem Deckel
zwei kleine Batterien beherbergen, so daB auf
Speicher-
matrix
der Leiterplatte kein weiterer Platz fiir externe
Batterien zur Verfugung gestellt werden muB.
So entstand das nicht fliichtige RAM (engl.:
Non Volatile RAM).
Mit dem oben geschilderten Verfahren konnen
Spannungsversorgung
sehr grofie RAM-Speicher nach dem Abschal-
'Y.^ ten der Versorgungsspannung gestiitzt werden.
D D
Nachteilig ist jedoch die Wartung der Batterien,
WRn Schreibleitung/? da diese auch nur eine endhche Betriebsdauer
RDn Leseleitung A7
CSn Chip-Select A? (Bauteilanwahl)
besitzen. Deshalb wurde ein NV-RAM (Non
n 1 Oder 2 Volatile RAM) entwickelt, welches zur Daten-
Bild 12-32, Aufbau eines Dual-Port-RAM-Spei- sicherung ein EEPROM benutzt. Da die Daten-
chers. sicherung vom Benutzer unbemerkt im Hinter-
476 12 Digitale Bauelemente

Non-Volati le-Speicherkern Hybride Speicher


Bei hybriden Speichern handelt es sich um Bau-
teile, die mehrere Speicherchips und die dazu-
gehorigen Logik-Chips enthalten (Bild 12-34).
Daten Logik Angewandt wird diese Technik vor allem bei
statische fijr die
und RAM- Speicher-
statischen Speichern, da auf Grund der 6-Tran-
Adressen-
buffer
Zelle ^ steuerung sistor-Speicherzelle die Integrationsdichte im-
« mer eine Generation hinter den dynamischen
Speichern liegt. Die Forderung nach Speichern
LeseVSchreib- mit mehr als einem MBit Speicherraum in ei-
Steuerung nem Gehause fiihrte zur Entwicklung dieser hy-
briden Speicher. Auch thermische Anforderun-
Bild 12-33. Aufbau eines NV-RAM-Speichers mit gen, wie sie an militarische Bauelemente gestellt
EEPROM-Hintergrundspeicher. werden (-55°C bis +125°C), konnen durch
sehr groBe Chips nur schwer oder gar nicht er-
fiillt werden. In diesem Fall werden fiir die erfor-
grund durchgefuhrt wird, wird bei manchen derlichen Speicherbauteile mehrere kleine Chips
Herstellern auch von einem „Shadow-RAM" in ein Gehause montiert.
gesprochen. Den grundsatzliche Aufbau eines Das Gehause der Speicherbauteile ist dabei ein
solchen NV-RAM zeigt Bild 12-33. LCC-Gehduse (Leadless Chip Carrier), das
Dem Anwender gegeniiber verhalt sich das NV- kaum groBer als der eigenthche Speicherchip
RAM genauso wie jedes andere statische Spei- ist. Die Abmessungen des gesamten Bauteils
cherbauteil. Mit diesem Bauteil kann man wah- entsprechen den iibhchen MaBen der Dual-In-
rend des normalen Betriebs beliebig viele Lese- Line-Gehduse.
und Schreiboperationen durchfuhren. Der Be- Bild 12-34 zeigt eine Variante zu den hybriden
nutzer greift dabei stets auf den Vordergrund- Speicherbausteinen. Es handelt sich dabei um
speicher zu, den eigentlichen RAM. Bin direkter SIM-Speicher (Single-Inline-Module), die eben-
Zugriff auf das dahinterliegende EEPROM ist falls eine sehr hohe Packungsdichte durch ihre
nicht moglich. Dies wird auch wahrend des nor- senkrechte Anordnung erlauben.
malen Betriebs zu keiner Zeit angesprochen.
Erst wenn die Steuerlogik fur das Abspeichern
in den Hintergrund aktiviert wird, wird der
momentane Speicherzustand des RAMs in das
EEPROM gerettet. Dieser Rettungsvorgang
wird beispielsweise durch einen Spannungs-
wdchter ausgelost, der die Versorgungsspan- M 1 M$ In H
nung iiberwacht und bei Unterspannung (z. B.
beim Abschalten) den Speichervorgang des NV-
RAMs aktiviert. Ein erneutes Anlegen der Ver- liliiiiiiiiiiiiiiiiiii
sorgungsspannung veranlaBt das Bauteil, den Bild 12-34. SIM-Speichermodul.
gesicherten Speicherinhalt automatisch in den
Vordergrundspeicher (RAM) zu laden.
Das Einsatzgebiet dieser speziellen Non-Vola- \12A Aufbau groBer Speichersysteme
tile-RAMS peicher ist vielfaltig. Es wird iiberall
dort verwendet, wo nach einem Spannungsaus- Arbeitsspeicher (RAM-Speicher) oder Pro-
fall mit vorher berechneten Daten weitergear- grammspeicher (EPROM-Speicher) bestehen
beitet werden muB (z. B. Festhalten der Koordi- aus mehreren Bauelementen. Dabei geben die
naten der Werkzeuge in einer Bearbeitungsma- GroBe der Speicherbauteile und ihre interne
schine). Auch anlagenspezifische Daten werden Organisation die auBeren Abmessungen des
in solchen Speichern abgelegt, wie beispiels- Speichers vor.
weise die interne Uhrzeit (Betriebsstundenzah- Speicher konnen intern bitweise (1 Bit breit),
ler). nibbleweise (4 Bit breit, also ein Halbbyte), byte-
12.2 Speicherbauteile und Speicheraufbau 477

Tabelle 12-10. Wichtige Speicherbauelemente und ihr Aufbau.


Speicher- Anzahl Technologic Spcicherart Organisation
groBe der Pins
in Bit xl x4 1 x8 xl6
256 14 1 TTL 1 bipol. RAM 1 •
ECL 1 bipol. RAM •
Ik 16 TTL bipol. RAM •
ECL bipol. RAM •
4k 18 TTL bipol. RAM •
ECL bipol. RAM •
NMOS Stat. RAM •
CMOS Stat. RAM •
8k 18 1 TTL bipol. PROM
16k 24 TTL bipol. RAM
NMOS, CMOS Stat. RAM •
NMOS dyn. RAM •
NMOS EPROM
NMOS Mask-ROM •
1 32 k 24 NMOS EPROM
64 k 28 NMOS Stat. RAM •
NMOS dyn. RAM •
NMOS EPROM
CMOS EPROM
NMOS Mask-ROM
128 k 28 NMOS EPROM
CMOS EPROM
256 k 28 CMOS Stat. RAM
NMOS dyn. RAM • •
NMOS EPROM
CMOS EPROM
512 k 28 NMOS EPROM
CMOS EPROM
CMOS Mask-ROM
1M 28/32/40 CMOS dyn. RAM • •
CMOS EPROM •
CMOS Stat. RAM •
2M 30/32/40 CMOS dyn. RAM
CMOS EPROM
CMOS Mask-ROM •
4M 30/32 CMOS dyn. RAM • •
8M 30/32 CMOS dyn. RAM •
478 12 Digitale Bauelemente

weise (8 Bit breit) oder sogar wortweise (16 Bit maschinen Z l und Z2. Erst die Z3 brachte 1941
breit) organisiert sein. Das bedeutet, daB bei der den Durchbruch. Mit 600 Relais im Rechen-
Anwahl einer Speicheradresse ein oder mehrere werk und 1400 Relais im Speicherwerk war dies
Bits zur Verfugung gestellt werden. Tabelle der erste vollfunktionsfahige 22-Bit-Rechen-
12-10 zeigt einen Uberblick iiber die wichtig- automat der Welt (Bild 12-35).
sten Speicher und ihre mogliche interne Organi-
sation.
Das Rechnersystem gibt die Anzahl der not-
wendigen Bits in einem Speicher vor, ebenso
den zur Verfugung stehenden AdreBraum. Wer-
den beispielsweise EPROM-Speicher in einem
Mikroprozessor-System (Abschn. 12.3) einge-
setzt, so kann ihre byteweise Organisation di-
rekt ausgenutzt werden.

Beispiel
12.2-1: Ein Speicher mit der GroBe von 2 MByte soil
durch EPROM-Speicherbauteile aufgebaut werden.
Zur Verfugung stehen Bauteile, die eine GroBe von
Bild 12-35. Der Rechenautomat Z3 von Konrad
256 kBit haben und byteweise organisiert sind (Ta-
Zuse.
belle 12-10). Es gilt die Anzahl der notwendigen Bau-
Foto: Deutsches Museum.
teile zu bestimmen.

Losung: Fur eine Multiplikation oder Division brauchte


die Z3 damals rund 3 Sekunden. N u r 50 Jahre
Durch die byteweise Organisation der Speicherbau-
spater erledigte dies ein 32-Bit-Mikroprozessor
teile stellen diese einen Speicherraum von 32 kByte
zur Verfugung (1 Byte = 8 Bit, 8 Bit • 32 k = 256 kBit, in weniger als 100 ns. Die Vielfalt der heute zur
was der GroBe des Speicherbauteils entspricht). Nach Verfugung stehenden Mikrorechner zeigt Bild
Gl. (12-9) sind fiir 2-MByte-Speicher 12-36.
2 MByte = 2 x 1 048 576 Byte oder Die rasante Entwicklung und die Moghchkeit
zu hochsten Integrationsdichten auf einem
2 MByte = 2097 152 Byte
Chip verringerte die Abmessungen der Mikro-
notwendig. Jedes EPROM stellt 32 kByte, also prozessoren auf wenige Quadratmillimeter.
32 kByte = 32 768 Byte zur Verfugung. Demnach
werden fiir den Aufbau eines 2-MByte-EPROM-
Speichers ProzeRrechner-Bauteile

2 097152 Byte
N-- : 64 Speicherbausteine Single-Chip- h j p Rit
32 768 Byte Mikroprozessoren [ j I ° °'^

benotigt. Wiirde man statt der 256-kBit-Speicher MMikroprozessorenH-r-j 8 Bit


Bauteile mit einer GroBe von 4 Megabit einsetzen, so
16 Bit
verringert sich die Anzahl der Bauelemente von 64 auf 1^
nur noch 8, da diese 4-MBit-Bauteile 256 kByte (nicht -|32Bit[-^
kBit!) zur Verfugung stellen.
H Transputer J- 16 Bit
In der Praxis wird man dariiber hinaus auch den
Platzbedarf und die Kosten eines solchen Bauteils •-|32Bit[-i^
beriicksichtigen.
r' in
{SjjtJ—
i_.
K RISC
Zunahme der Rechenlelstung

12.3 Mikrorechner I \- Tl , ,
I M Peripherie- H-H l/0-ProzessorM 8 Bit
I I Prozessoren
Prnyoccnron I II ' ' '
Die Idee, dem Menschen die Arbeit beim Um-
Numeric-
gang mit Zahlen zu erleichtern, verwirklichte Prozessor 80 Bit
bereits KONRAD ZUSE ( K . ZUSE, geb. 1910) Ende !__
der dreiBiger Jahre mit seinen ersten Rechen- Bild 12-36. Ubersicht iiber die Mikroprozessoren.
12.3 Mikrorechner 479

Neue Bezeichnungen und Einheiten wurden fehle durch ein internes Mikroprogramm, das
notwendig, um die Leistung dieser neuen Bau- den Befehl in die notwendigen Prozefisequenzen
teile zu beurteilen. Im folgenden soUen die wich- iibersetzt. Die Abarbeitung des Befehls benotigt
tigsten erlautert werden. deshalb in Abhangigkeit von den Mikrokodes
Die maximale Anzahl der bearbeiteten Maschi- mehrere Taktzyklen.
nenbefehle pro Sekunde wird in MIPS (Million
Instruction Per Second) angegeben. Fur die Bei Mikroprozessoren werden die Befehle in
Rechner der kommenden Generation erwartet einen Mikrokode umgesetzt, der in mehre-
man Rechenleistungen, die bereits mit GIPS ren Taktzyklen abgearbeitet wird.
(Giga Instruction Per Second) angegeben wer-
den konnen. Dadurch ist der Mikroprozessor in der Lage,
sehr viele Befehle zu interpretieren und auszu-
1 MIPS = 1 Million Befehle in der Sekunde fuhren. (In der Regel versteht ein Mikroprozes-
sor mehr als 200 Maschinenbefehle.) Er zahlt
1 GIPS = 1000 MIPS
somit zu den CISC-Rechnern (Complex In-
struction Set Computer).
Ein anderes MaB zur Beurteilung der Rechen- Die Arbeitsweise des Mikroprozessors ist stack-
leistung ist die Anzahl der Gleitkommaoperatio- orientiert. Das bedeutet, daB der Prozessor Zwi-
nen, die pro Sekunde durchgefuhrt werden. Sie schenergebnisse in einem reservierten Teil des
werden in FLOPS (Floatingpoint Operation Hauptspeichers, also auBerhalb des Mikropro-
Per Second) angegeben. zessors, ablegt. Dieser Speicherteil wird als Sta-
pelspeicher oder Stack bezeichnet. Er ist so an-
1 kFLOPS = 1000 FLOPS
gelegt, daB das letzte eingeschriebene Wort
1 MegaFLOPS (MFLOPS) zuerst abgeholt werden muB (die Daten sind
= 1000 000 FLOPS „gestapelt").
1 GigaFLOPS (GFLOPS)
= 1000 MegaFLOPS Der Mikroprozessor arbeitet stack-orien-
tiert.
Weitere wichtige Begriffe, die im folgenden im-
mer wieder gebraucht werden, sind: Den Aufbau eines Mikroprozessors zeigt Bild
12-37. Es gibt das stark vereinfachte Block-
ALU Arithmetik Logic Unit schaltbild eines 16-Bit-Mikroprozessors wieder.
CPU Central Processing Unit Die zwei wesentlichen Funktionseinheiten des
DMA Direct Memory Access Mikroprozessors sind der Rechenkern (engl.:
MIMD Multiple Instruction Multiple Data Execution Unit) und die Schnittstelleneinheit
SIMD Single Instruction Multiple Data (engl.: Bus Interface Unit). In der Schnittstellen-
I/O Input/Output einheit gelangen ankommende Befehle zunachst
in ein Schieberegister, die Befehls-Warteschlan-
12.3.1 Mikroprozessoren ge (engl: instruction queue). Von dort werden
In den 80er Jahren wurde die Entwicklung der Mi- sie iiber einen internen Bus vom Befehlsdekoder
kroprozessoren betrachtlich vorangetrieben. Dem abgeholt und in eine Sequenz von Mikrobefehlen
urspriinglichen 8-Bit-Prozessor, der mit 4 MHz ge- umgesetzt. Diese enthalt die Zuweisung der zu
taktet wurde, stehen heute 32-Bit-Prozessoren mit bearbeitenden Daten und die Ausfiihrung der
einer Taktfrequenz von mehr als 3 GHz gegeniiber. Rechenoperation durch das Rechenwerk, die
Die Weiterentwicklung dieser Mikroprozessoren ALU (Arithmetic Logic Unit). Vom Ergebnis
wird in den kommenden Jahren nicht nur hohere abhangig, setzt die ALU entsprechende Flaggen
Taktfrequenzen ermoglichen, sondem auch die zu (engl.: flags). Die wichtigsten hiervon sind:
verarbeitende Datenbreite auf 64 Bit verdoppeln. overflow flag: Rechenergebnis ist groBer als
Der Aufbau der Mikroprozessoren ist dabei durch den Prozessor dargestellt
in den wesentlichen Funktionseinheiten anna- werden kann,
hernd gleich geblieben. Ein besonderes Kenn- sign flag: gibt das Vorzeichen an (0 posi-
zeichen ist die Interpretation der Maschinenbe- tive Zahl, 1 negative Zahl),
480 12 Digitale Bauelemente

Execution Unit Bus Interface Unit


(Rechenkern) Adressen Daten Steuersignale (Schnittstelleneinheit)

"P°> ^ ^ >

Segment-Register

Mikrobefehls- Befehlszeiger
Kontroll- und
speicher Zeitsteuerungseinheit

ALU = Arithmetic Logic Unit

Takt externe Steuersignale


Bild 12-37. Vereinfachtes internes Blockschaltbild eines 16-Bit-Mikroprozessors.

zero flag: zeigt an, daB das Rechenergeb- Schnittstelle (engl: Bus Interface) weitergege-
nis null ist, ben und entweder auf den Daten-Bus oder den
carry flag: wird bei Ergebnissen gesetzt, AdreB-Bus gelegt.
die einen Ubertrag erfordern. Die VerwirkHchung dieser Funktionen auf
Das Ergebnis einer Rechenoperation kann so- einem Chip zeigt das Bild 12-38. Die hochkom-
wohl ein Datum (Wert) als auch eine Adresse plexen Strukturen zeigen den Aufbau des
sein, auf die in der weiteren Verarbeitung zuge- 32-Bit-Mikroprozessors MC 68040.
griffen werden muB. Davon abhangig wird das Der Mikroprozessor ist allein nicht funktions-
Ergebnis iiber eine weitere Registereinheit der fahig. Er braucht eine Reihe externer Bauteile,
Schnittstelleneinheit an die eigenthche Bus- die ihn in seiner Funktion unterstiitzen. Diese
Peripherie-Bauteile sind im wesentlichen von
der Aufgabe des Mikroprozessors abhangig.
Bild 12-39 zeigt die wichtigsten Bauteile eines
Mikrocomputers. Im Foto darunter sind diese
Bauteile in einer Rechnerkarte verwirkhcht.
Programmspeicher (EPROM), RAM und der
Mikroprozessor selbst mussen bei alien Rech-
nersystemen vorhanden sein. Weitere Periphe-
rie, sowie die Anzahl der parallelen und seriel-
len Schnittstellen sind vom Gesamtsystem und
dessen Aufgabe abhangig. Auf die Funktion der
einzelnen Peripherie-Bauteile soil hier nicht wei-
ter eingegangen werden. So werden in Tabelle
12-11 nur die wichtigsten zusammengefaBt.

12.3.2 Single-Chip-Mikrocomputer
Bild 12-38. Mikroprozessor MC 68040.
Werkfoto: Motorola. Mit der fortschreitenden Integration gelang es.
12.3 Mikrorechner 481

Takt- serielle
erzeugung
Schnitt-
stellen
Bus-Schnittstelle
Ifiir externe
Rechnererweiterung

Bild 12-39. Blockschaltbild eines Rechnersystems mit Mikroprozessor.

neben dem Mikroprozessorkern (Central Pro- Stapelspeicher (Stack) zur Verfugung stellt. Bei
cessing Unit, CPU) auch noch periphere Bau- einem Ein-Chip-Computer (Single Chip Com-
teile (Tabelle 12-11) mit auf einem Chip unter- puter, SCC) sind der Programmspeicher und
zubringen. Diesen Integrationsvorgang ver- der Arbeitsspeicher mit auf dem Chip integriert,
deutlicht Bild 12-40. Dabei zeigt die linke Halfte wodurch das Rechnerbauteil unabhangig von
den Aufbau eines Einplatinen-Rechners (Single externen Bauteilen wird.
Board Computer, SBC), wie er oben beschrie-
Bei einem Single-Chip-Computer sind Pro-
ben wurde. Die wichtigsten Bauteile sind dabei
grammspeicher, Arbeitsspeicher und weitere
der Programmspeicher (EPROM oder ROM) Funktionen auf einem Chip vereint.
sowie der Arbeitsspeicher (RAM), der auch den
482 12 Digitale Bauelemente

Tabelle 12-11. Rechner-Peripherie. sprache BASIC. Neben diesen Speichern zeigt


Bild 12-40 (rechte Halfte) auch noch die Inte-
dynamische Speicher gration paralleler und serieller Schnittstellen.
Da der interne Speicher begrenzt ist, kann iiber
RAM statische Speicher
eine Bus-Schnittstelle zusatzlich ein externer
bipolare Speicher Speicher angesprochen werden.
Ein-Chip-Computer sind heute vor allem in 8-
EPROM Bit- oder 16-Bit-Technik ausgefiihrt. Ihr Einsatz
erstreckt sich beispielsweise von der Waschma-
Speicher ROM EEPROM schinensteuerung bis zu verteilten Rechnern,
bei denen Teilprobleme an unterschiedlichen
Mask-ROM
Stellen sofort gelost werden miissen. Dies kann
Mehrtor-Speicher beispielsweise die MeBwertaufnahme und -be-
Sonstige wertung in einer FertigungsstraBe sein. Einige
Non Volatile RAM Ein-Chip-Computer besitzen zu diesem Zweck
auch einen eingebauten Analog/Digital-Wand-
parallel Centronics ler.
Standard I/O RS 232C, RS 422A
seriell
Ethernet, LAN 12.3.3 RISC-Computer
parallele I/O-Ports Die Mikroprozessoren und die Super-Mikros
direkt zahlen zu den CISC-Rechnern (Complex In-
Transistorausgangs- struction Set Computer). Sie sind durch die
stufen Interpretation der Befehle durch einen Mikro-
Leistungsl/O code gekennzeichnet, der den Befehl in mehre-
Relais ren Taktzyklen abarbeitet, wie bereits erlautert.
ent-
koppelt Bei RISC-Prozessoren (Reduced Instruction Set
Optokoppler
Computer) erfolgt keine Umsetzung des Befehls
Numerik-Prozessor durch ein Mikroprogramm. Fiir jeden Befehl in
Maschinensprache steht ein sequentielles Netz-
DMA-Controller werk aus Gattern zur Verfugung, das die Aus-
fiihrung des Maschinenbefehls in nur einem ein-
System-
ProzeB- Interrupt-Controller zigen Taktzyklus ermogHcht.
unter-
bauteile
stiitzung Timer-Bauteile
RISC-Prozessoren fuhren jeden Befehl in
Spannungswachter nur einem Taktzyklus aus.

Watchdog Der dazu notwendige Gatteraufwand auf dem


Chip erlaubt selbstverstandhch nicht die Be-
Grafik-Interface
Benutzer- fehlsvielfalt, die Mikroprozessoren durch den
Schnitt- Keyboard-Controller Mikrokode interpretieren konnen. Es steht so-
stellen mit nur ein eingeschrdnkter Befehlssatz (engl.:
Drucker-Interface reduced instruction set) zur Verfugung. Ein wei-
terer Unterschied zu den Mikroprozessoren ist
die registerorientierte Arbeitsweise von RISC-
Der Programmspeicher kann sowohl als Rechnern. Im Gegensatz zur stack-orientierten
EPROM-Speicher, als auch als Mask-ROM Arbeitsweise (s. o.) werden Zwischenergebnisse
ausgefiihrt werden (Abschn. 12.2). Einige Her- nicht mehr in einem Stapelspeicher ausgelagert,
steller bieten inzwischen Ein-Chip-Computer sondern in einem Register auf dem Chip gehal-
mit fest eingebautem BASIC-Interpreter an, zur ten. Dies erlaubt einen wesentlich schnelleren
direkten Programmierung in der Programmier- ^ugriff auf diese Daten.
12.3 Mikrorechner 483

Single-Board-Computer (SBC) Single-Chip-Computer (SCO


(Einplatinen-Rechner) (Ein-Chip-Rechner)

Takt- Interrupt RAM


Generator Controller
Interrupt
Controller
zusatzliche
Periphede-
Bauteile

program- Mikroprozessor-
Mikroprozessor EPROM
mierbare EPROM Rechenkern
Zahler

Bus-
Interface
serielle
Bus-
Schnitt-
Interface

V. ZY N
j ^ Daten-ZAdreR-ZSteuerleitungen A
stelle

\ 1 I • /
rr

Bild 12-40. Mikroprozessor und Single-Chip-Computer.

50 MHz. Da mit jedem Takt ein Befehl ausge-


fiihrt werden kann, entspricht dies einer maxi-
malen Leistung von 50 MIPS (Millionen In-
struction Per Second). Andere Technologien
erlauben noch wesentlich hohere Taktfrequen-
zen. So wurde ein RISC-Prozessor in der Gal-
lium-Arsenid-Technologie (GaAs) entwickelt,
der mit 200 MHz getaktet wird und der somit
der zur Zeit schnellste Prozessor sein durfte.
Bild 12-41 zeigt den hochintegrierten Chip eines
RISC-Prozessors.
Eine weitere drastische Erhohung der Rechen-
leistung von RISC-Prozessoren erwartet man
in den nachsten Jahren durch noch feinere
Chip-Strukturen. Auch beim GaAs-RISC strebt
man eine OJ-fim-Struktur an (heute: l,5-)im-
Struktur).
Bild 12-41. RISC-Prozessor MC 88100.
Werkfoto: Motorola.
12.3.4 Transputer
Die drastische Erhohung der Rechenleistung
Die Arbeitsweise der RISC-Prozessoren ist durch die Super-Mikros und RISC-Prozesso-
registerorientiert. ren ist vor allem auf die 32-Bit-Architektur und
die immer kleiner werdenden Chip-Strukturen
Um diese Anforderung zu erfiillen, haben einige in CMOS-Technik zuriickzufuhren. Heute
RISC-Prozessoren mehr als 100 interne Regi- schon werden bei den integrierten MOS-Transi-
ster. storen Kanalbreiten von nur 0,8 |im bei den
RISC-Prozessoren besitzen eine 32-Bit-Archi- schnellsten Prozessoren verwirklicht. Moglich
tektur, die vorwiegend in CMOS-Technik aus- ist dies nur durch hochprdzise Masken, die auf
gefiihrt ist. Dies erlaubt Taktfrequenzen bis zu fotografischem Weg hergestellt werden. Eine
484 12 Digitale Bauelemente

^1 ' M ' 1
Takterzeugung 32-Bit- A 32-Bit-Regi5ter j On-Chip-
und Rechenwerk RAM Speicher
Kontrolleinheit X ALU X

H
interner 32-Bit-Datenbus
8
B
10 MBit
8 8 8
10 MBit 10 MBit 10 MBit
S
Interface fijr
serielles serielles serielles serielles
externen Speicher
Interface Interface Interface Interface

t t 1 t I I
4 Voll-Duple>c-Datenverbindungen
!1 o o
Bild 12-42. Blockschaltbild eines Transputers.

weitere Steigerung der Rechenleistung auf die- Prozessoren in nahezu beliebigen Netzen mit-
sem Weg scheint heute nur noch bedingt mog- einander verbunden werden. Die Rechenlei-
lich, da diese feinen Strukturen schon nahe an stung wird auf die Knoten des Netzes verteilt.
der Belichtungswellenldnge liegen. Mit dem Bild 12-43 zeigt einige grundlegende Vernet-
Transputer wird daher ein voUig anderer Weg zungsformen von Transputern.
beschritten, der in die Richtung paralleler Jeder dieser Kommunikationskandle hat direkten
Rechenleistung weist. Zugriff Siuf den Speicher, ohne daB der Prozes-
Der Rechenkern eines Transputers entspricht sorkern mit einbezogen werden muB. Diese
einem 16- bzw. 32-Bit-Mikroprozessor. Die Be- DMA-Fdhigkeit (DMA: Direct Memory Ac-
fehlsinterpretation erfolgt durch ein optimiertes cess) erlaubt bis zu acht Dateniibertragungen
Mikrokode-Programm, so daB der Transputer gleichzeitig (vier vom und vier in den Speicher),
zu den CISC-Rechnern (Complex Instruction wahrend der Rechenkern ungehindert und so-
Set Computer) zahlt. Fiir die stack-orientierte mit ohne Geschwindigkeitsverlust seine Opera-
Arbeitsweise wurde auf dem Chip ein sehr tionen durchfuhren kann. Der DMA-Controller
schneller RAM-Speicher eingefugt. Die externe stellt sicher, daB die CPU (Central Processing
Auslagerung der Zwischenergebnisse entfallt. Unit) fur den Datentransfer nicht benotigt wird.
Bild 12-42 zeigt ein vereinfachtes Blockschalt- Der Aufbau von Rechnernetzen nach Bild 12-43
bild eines Transputers. erlaubt hochste Flexibilitdt. Jedem Knoten ste-
Hauptmerkmal des Transputers sind jedoch hen mehrere Megabyte externer Arbeitsspei-
seine vier sehr schnellen seriellen Datenwrbin- cher zur Verfugung, auf den nur ein Rechner,
dungen, die man links nennt. Damit werden der Knotenrechner, zugreifen kann. Dies ge-
Ubertragungsraten von 10 MBit pro Sekunde wahrleistet die echte Parallelitat solcher Rech-
in beiden Richtungen erreicht. Diese bidirektio- nerstrukturen, erfordert aber einen regen Daten-
nalen Datenkanale arbeiten also bitseriell im austausch. In parallelen Rechnersystemen ist
Voll-Duplex-Betrieb. jeder Knotenrechner in der Lage, sdmtliche Auf-
gaben zu erledigen. (Demgegeniiber stehen ver-
Transputer sind CISC-Rechner, deren Daten- teilte Rechnersysteme, in denen jeder Knoten
austausch liber sehr schnelle bidirektionale nur eine bestimmte Aufgabe zu losen hat.) Diese
Datenkanale lauft. hohe Flexibilitat des parallelen Konzepts setzt
somit das gesamte Programm in alien Knoten-
Mit diesen Verbindungen konnen beliebig viele rechnern voraus.
12.3 Mikrorechner 485

Transputer- eine hohe Ausnutzung der parallelen Rechner-


Pipeline strukturen. Doch auch einige Compiler (tjber-
setzungsprogramme) fixr die Programmierspra-
Transputer-
che C sind heute in der Lage, Transputerpro-
Ring grammierung zu unterstiitzen.
Transputer eignen sich vor allem fur die Ver-
arbeitung sehr groBer Datenmengen. Dies ist
beispielsweise in Forschungszentren und bei
der Simulation in Entwicklung und Konstruk-
Transputer-
Gitter (2D)
tion der Fall.

Zur Ubung
U 12.1-1: In einer Schaltung sollen LSTTL-Bauteile
durch HCT-Bauteile ersetzt werden. Verbessert sich
dadurch der Storspannungsabstand oder der Rausch-
spannungsabstand ?
Transputer-Baum
U 12.1-2: In Aufgabe U 12.1-1 stehen nicht alle
LSTTL-Bauteile auch in HCT zur Verfugung. Einige
werden deshalb durch HC-Bauteile ersetzt.
a) Was muB man bei der Verwendung von HC- an-
stelle von HCT-Bauteilen beachten?
b) Wie erfolgt die Ankopplung an die LSTTL-Bau-
teile?
c) Ist diese MaBnahme auch im umgekehrten Fall
(HC-Bauteile treiben LSTTL-Bauteile) notwendig?
d) Bleiben Storspannungsabstand und Rauschspan-
0^5^ nungsabstand erhalten?

i^S^
U 12.2-1: Fur ein sehr schnelles Rechnersystem soil
ein 64-kByte-Cache-Speicher entwickelt werden. Der
Prozessor arbeitet dabei mit einer Datenbreite von
3D-Cube 4D-Hypercube 32 Bit.
a) Mit welchen Bauteilen muB der Speicher gebaut
Bild 12-43. Transputer-Vernetzung.
werden?
b) Wieviel 32-Bit-Datenworte konnen darin abgelegt
werden?
In parallelen Rechnersystemen ist in jedem c) Suchen Sie aus der Tabelle 12-10 das groBtmogUche
Knotenrechner das voUstandige Bearbei- Bauteil heraus, mit dem dieser Speicher aufgebaut
tungsprogramm vorhanden. werden kann.
d) Wieviel Bauteile miissen parallel geschaltet werden,
um die 32-Bit-Wortbreite zu erhalten?
Parallel zu diesen Rechnern wurde die Pro- e) Wieviel Bauteile sind fiir den gesamten Speicher-
grammiersprache Occam entwickelt. Sie erlaubt aufbau notwendig?
486 13 Entwicklung digitaler Schaltungen

13 Entwicklung digitaler wicklung beginnen zu konnen, sind zuerst die


Voraussetzungen fiir eine erfolgreiche Durch-
Schaltungen fuhrung zu schaffen. Dazu gehoren in erster
Linie
- die Finanzmittel,
- die Personalmittel (Anzahl der notwendigen
Die Entwicklung digitaler Schaltungen stellt Planer),
heute immer hohere Anspriiche an den Planer. - die Sachmittel (Entwicklungsgerate) und
Die Qualitat des Ergebnisses ist dabei von der - eine Zeitplanung.
Sorgfalt der Planung abhangig. Die Herstellung
hochkomplexer Schaltungen ist nur durch den Ist eine dieser Bedingungen nicht erfiillbar, so
Einsatz von modernen CAE- (Computer Aided ist das Entwicklungsvorhaben bereits in diesem
Engineering) und CAD-Werkzeugen (Computer friihen Stadium gefahrdet.
Aided Design) unter Befolgung systematischer Nach dem BeschluB eines Entwicklungsvorha-
Entwicklungsmethoden moglich, wie sie bei- bens (Phase 1 abgeschlossen) muB man zu-
spielsweise das Phasenmodell nach Abschn. nachst alle Anforderungen an die Entwicklung
13.1 beschreibt. erfassen und als Spezifikationen beschreiben.
Bei umfangreichen Entwicklungen erstellt man
i.a. mehrere Spezifikationen, um das Projekt
iibersichtlich zu gestalten. Sie sind in einer iiber-
13.1 Entwicklungsphasen geordneten Spezifikation, der Systemspezifika-
Von der Idee bis zur reahsierten Schaltung wer- tion, zusammengefaBt. Die Systemspezifikation
den mehrere Entwicklungsphasen durchlaufen, beschreibt dabei globale Punkte eines Projek-
wie Bild 13-1 zeigt. tes, wie beispielsweise mechanische Einschran-
kungen (z. B. Gehause und Aufbau eines Cera-
Am Anfang jeder Entwicklung steht das Ent- tes), Betriebstemperaturbereich (z. B. bei indu-
wicklungsvorhaben (Phase 1), als Wunsch zur striellen Anforderungen von 0°C bis +70°C),
Verwirklichung einer Idee. In Anlehnung an die minimalen Bedienungsmoglichkeiten und
den amerikanischen Sprachgebrauch lautet die Anzeigen (z. B. Kontrolleuchten und Not-Aus-
Bezeichnung oft NPB („New Product Birthing", Schalter) oder eine vorgeschriebene Betriebs-
Geburt eines neuen Produktes). Um die Ent- spannung.
Entwicklungs- idealer Entwicklungs- Zuordnung der Die untergeordneten Spezifikationen bezeich-
phasen ablauf Entwicklungsphasen
net man auch als Detailspezifikationen. Diese
gehen bereits auf eine konkrete Losung zu. Sie
Entwicklungs-
Phase 1
vorhaben
beziehen sich beispielsweise auf die zu entwik-
Vor-
kelnden Baugruppen und legen dort die Rand-
^ entwicklung bedingungen der Systemspezifikation zugrunde.
Phase 2 Spezifikation h Eine Detailspezifikation kann folgende Punkte
beinhalten:
J 1 Deta Ispez 1- - Definition der Schnittstellen (z. B. die Breite
" ^ s ^ ^ fikat ionen

Phase 3 Entwicklung
des AdreB- und Datenbusses zur Rechner-
schnittstelle),
- Definition der Ein- und Ausgangssignalpegel,
^ Entwicklung
- Definition der zu realisierenden Funktion,
Phase 4 Test
Nach- - Spezifikation der anzuwendenden Technolo-
J entwicklung gic (z. B. Verwendung von SMD (Surface
^ ^
Mounted Devices, s. Abschn. 1.9.3),
Phase 5 Serienreife - Festlegung der Abmcssungcn der Baugruppe
Produktion
(z. B. Europaformat 100 mm x 160 mm, Dop-
^ pel-Europaformat 233 mm x 160 mm oder
Phase 6 Serienfertigung
^f ein anderes MaB der Leiterplatte).
Die ersten beiden Phasen (Entwicklungsvorha-
Bild 13-1. Entwicklungsphasen in einem Projekt. ben und Spezifikation) bezeichnet man auch als
13.1 Entwicklungsphasen 487

Schaltplanentwicklung

mm *mhS. mm

4i i s f S f i i

Simulation

Bild 13-2. CAE-Arbeitsplatz.


488 13 Entwicklung digitaler Schaltungen

Vorentwicklung. Leider fallt die Spezifikations- platz bietet in gleicher Weise eine Schnittstelle
Phase viel zu oft dem Tatendrang der Planer zu Simulationsprogrammen und erlaubt damit
zum Opfer, so daB sie nur mit ungeniigender die Erstellung von Stiicklisten.
Sorgfalt durchgefuhrt wird. Spater kann dies zu Neben der logischen und zeitlichen Simulation
erheblichen Problemen bei der Integration in von digitalen Schaltungen fiihrt der CAE-Ar-
das Gesamtsystem fuhren. beitsplatz in zunehmendem MaBe auch kom-
Mit Phase 3 beginnt die eigentliche Entwicklung plexe Layout-Simulationen durch. Dabei be-
der digitalen oder analogen Schaltung. Zu die- rechnet man das Signalverhahen auf den
sem Zeitpunkt miissen geniigend Informatio- Leiterbahnen (z. B. Laufzeiten und Reflexionen)
nen zur Verfugung stehen, um eine sichere sowie das Ubersprechen (engl.: cross talk) be-
Schaltungsentwicklung zu gewahrleisten. nachbarter Leitungen. Auch Verlustleistungs-
Bei der Schaltplanentwicklung stehen dem Ent- Berechnungen und thermische Betrachtungen
wickler heute eine Reihe von computergestiitz- der entwickelten Leiterplatte kann der Rechner
ten Hilfsmitteln zur Verfugung, die unter dem simuheren. Letzteres erlaubt das friihzeitige Er-
Begriff CAE (Computer Aided Engineering) kennen lokaler Warmenester (engl.: hot spots),
zusammengefaBt sind. Die Schaltplanerstellung die zu vorzeitigen Fehlfunktionen oder Ausfal-
erfolgt auf sogenannten Workstations (sehr lei- len fuhren konnen. Bild 13-3 zeigt die ther-
stungsfahige Computer) mit Hilfe grafischer mische Simulation einer Leiterplatte.
Eingabe-Programme (CAD, Computer Aided Der Einsatz von CAE ist typisch fur die Ent-
Design). Diese Programme konnen heute neben wicklungsphase 3, wobei die Grenzen durch
dem eigentUchen Stromlauf auch eine Verkniip- den immer groBeren Leistungsumfang der Pro-
fungsHste und eine StiickHste ausgeben sowie gramme verschwimmen. Ubergreifende Daten-
eine umfangreiche logische und zeitliche Simu- schnittstellen binden schlieBlich den CAE-Ar-
lation digitaler und analoger Schaltungen beitsplatz in einen Datenverbund fur die Ferti-
durchfuhren. Einige Programme machen den gung ein, den sogenannten CIM (Computer
Benutzer sogar bei der Schaltungseingabe auf Integrated Manufacturing). Das Zusammen-
etwaige Fehler aufmerksam, wie beispielsweise spiel und die wichtigsten Datenverbindungen
unbenutzte Pins oder zwei gegeneinander ver- dieser rechnergestutzten Hilfsmittel sind im
drahtete Ausgange. Welche Funktionen heute Bild 13-4 zusammengefaBt. Man bezeichnet sie
ein CAE-Arbeitsplatz erfullt, zeigt Bild 13-2. auch als C-Tools (Computer-Tools).
Die umfangreichen Programme zur Durchfuh- Wie Bild 13-4 zeigt, umfassen die C-Tools nicht
rung der einzelnen Entwicklungsschritte haben nur die Entwicklungsphase, sondern stellen
dabei genau definierte Datenschnittstellen. Dies auch die Verbindung zu alien anderen Phasen
erlaubt den Datenaustausch der Programme her. Immer starker wird in Zukunft dabei der
untereinander. Besonders wichtig ist dies bei Computer-Einsatz bei Test und Qualitatssiche-
der Entwicklung von Stromlaufen und anschlie- rung. Beim CAT (Computer Aided Testing)
Bender Erstellung der Leiterplatte (Layout). steuert man mit einem Rechner, dessen Daten
direkt aus den Simulationsergebnissen der Ent-
Das Entflechtungsprogramm zur Erstellung der
Leiterplatte benutzt dabei die vom Stromlauf
abgeleitete Netzliste, so daB diesem Programm
bereits alle Verbindungen bekannt sind. Die
Datenkandle zwischen diesen Programmen las-
sen sich in beiden Richtungen benutzen. Wird
nachtraglich etwas in den Stromlaufplan einge-
fiigt, das auch auf der Leiterplatte hinzuzufiigen
ist, so spricht man von Forward Annotation
(Vorwartsmeldung). Umgekehrt konnen auch
im Layoutsystem Anderungen vorgenommen
werden (z. B. Tauschen von Gattern gleicher
Funktion), was mit Backward Annotation
(Ruckmeldung) wieder im Stromlauf iibernom-
men werden kann. Ein solcher CAE-Arbeits- Bild 13-3. Thermische Simulation einer Leiterplatte.
13.1 Entwicklungsphasen 489

CIM
Computer Integrated Manufacturing
Planung Qualitatssicherung
MeBergebnisse,
Stromlaufe,
Entwicklung Priifvorschrift CAQ
CAP Computer Aided Quality
Computer Aided Planning Assurance
CAE
RechnergestiJtzte Planung Computer Aided Engeneering Oberwachung der MeBergebnisse
von Zeit, Kosten und Personal Oberwachung der Prijfbarkeit
wahrend der Entwicklung Auftrags- Schaltplan-Entwicklung Design- Oberwachung der Fertigbarkeit
Spezifikatioi
Termine Netzlistenerstellung Anderungen
Oberwachung der Dokumentation
logische Simulation
zeitliche Simulation
thermische Simulation
Dokumentation

CAD A
Prijfen und Testen Fertigung
Computer Aided Design ( Korrekturen
Schaltplanentflechtung
CAT N CAM
(Layout-Erstellung)
Computer Aided Testing Computer Aided Manufacturing
Leiterplatten-Konstruktion
Erzeugung von Testvektoren Gehause-Konstruktion CAA
In-Circuit-Test Erstellung der Plane Computer Aided Assembly
Funktionstest Stijcklisten,
Netzlisten, Dokumentation
Fertigungsdaten,\
Automatische Fertigung und
Plane ?
Test-Protokolle Stromlaufe
V

Bildl3-4. Rechnergestutzte Hilfsmittel: C-Tools.


wicklung abgeleitet werden. Gleichzeitig erstellt lung erforderlich. Bild 13-5 zeigt die Risikover-
man Statistiken uber Ausfalle (Ursache und minderung durch eine simulierte Entwicklung
Haufigkeit) und wertet sie mit Hilfe eines Rech- mit Hilfe der C-Tools (Bild 13-5 b) und eine Ent-
ners aus. Diese QualitatssicherungsmaBnah- wicklung ohne diese Hilfsmittel (Bild 13-5 a).
men werden als CAQ (Computer Aided Quality Bei letzterer geht das Produkt mit einem hohe-
Assurance) bezeichnet. Durch immer leistungs- ren Anteil an Restfehlern auf den Markt.
fahigere Computer ist man bereits heute in der Der Test einer Schaltung in seiner zukiinftigen
Lage, ganze Herstellungsprozesse zu simulieren Umgebung erfolgt in der 4. Entwicklungsphase.
und auf Kollisionsfreiheit der Werkzeuge zu Hier werden erstmals die realen Ein- und Aus-
untersuchen. gangsbedingungen mit der Funktion auf der ent-
Immer weitere Verbreitung fmden rechnerge- wickelten Karte zusammengebracht. Das bis zu
stiitzte Lernprogramme (CAL, Computer Aided diesem Zeitpunkt als ideal angenommene Zeit-
Learning), mit denen sich der Anwender selbst verhalten hat sich nun auch unter dem EinfluB
schult. Meist erfolgt dies mit Hilfe von Dialog- auBerer StorgroBen wie z. B. der Elektromagne-
boxen auf dem Bildschirm, in denen Erklarun- tischen Vetraglichkeit (EMV) oder der Tempe-
gen und Fragen eine Einfuhrung in die zu erar- ratur zu bewahren. Bei erheblichen Mangeln
beitende Problematik geben. Da der Anwender erfolgt eine teure und aufwendige Nachentwick-
hier selbst aktiv mitarbeiten muB, bezeichnet lung, die erneut eine Testphase durchlaufen
man diese Programme als interaktive Lernpro- muB. Um dies zu vermeiden, fuhrt man zuneh-
gramme. mend die Entwicklungsphasen von der Schalt-
Das sehr umfangreich gewordene Sachgebiet planerstellung bis zur Schaltungserprobung auf
stellt hohe Anspriiche an den Planer. Die Mog- dem Rechner durch und simuhert sie.
lichkeit jedoch, bereits in den Phasen 1 bis 3 ein Erst nach erfolgreichem TestabschluB erfolgt
hohes MaB an Entwicklungssicherheit zu errei- die Uberfiihrung in die Serie (Phase 5). Hier
chen und damit das Entwicklungsrisiko zu ver- muB der Planer die MogHchkeiten der Ferti-
ringern, zahlt sich bereits in einer wesentlich gung seines Produktes priifen. Dabei sind fol-
kurzeren Testphase (Phase 4) aus und verrin- gende Kriterien zu erfiillen:
gert den Umfang einer Nachentwicklung erheb- - giinstige Auswahl der Bauelemente (keine
lich. Im Idealfall ist sogar keine Nachentwick- teueren „Exoten"),
490 13 Entwicklung digitaler Schaltungen

a) Fehler ohne Einsatz von C-Tools

Phase 1 Phase 2 . Phase 3 Phase 4 , Phase 5 , Serie


Zeit
Spezifikationen
Entwicklung

Fehlerkurve
wahrend einer
Produktentwicklung

Verfijgbarkeit erster Verfijgbarkeit erster


Entwicklungsmuster • Serienprodukte

b) Fehler mit Einsatz von C-Tools

Phase 1 Phase 2 Phase 3 Phase 4 Phase 5 Serie


Zeit

! - • • Verfijgbarkeit erster Entwicklungsmuster


^-*^ Verfijgbarkeit erster Serienprodukte

Bild 13-5. Risikoverminderung durch Simulation.


- Testbarkeit (evtl. sind in die Schaltung zu- falle in der Praxis aus. Mit einer gezielten Ent-
satzliche Testpunkte einzufugen) und wicklung, die von Anfang an die fertigungstech-
- moglichst kein Abgleich analoger Baugrup- nischen Bedingungen des Produkts beachtet,
pen. kann sogar die Phase 5 (Serienreife) entfallen.
Durch eine umsichtige Entwicklung in der Oft wird allerdings auch von der Planung nicht
Phase 3 und eine genaue Spezifikation kann erkannt, daB sich der Mehraufwand in der Vor-
man die Entwicklungsarbeit bis zur Serienreife entwicklung durch eine Verkiirzung der Ge-
auf ein Minimum begrenzen. Bei erfolgreichem samtentwicklungszeit bezahlt macht.
AbschiuB erfolgt schheBhch die Serienfertigung
(Phase 6).
13.2 Pulsfahrplan
Bild 13-5 zeigt auch den zeitlichen Verlauf der
einzelnen Phasen. Dabei hat sich herausgestellt, Der Pulsfahrplan ist eine haufig verwendete
daB man die Gesamtentwicklungszeit durch Methode zur Entwicklung von Schaltungen,
sorgfaltige Spezifikation (Phase 2) und Schal- deren Zeitverhalten bekannt ist. Mit dem Puls-
tungssimulation in Phase 3 erheblich verrin- fahrplan halt man das Zeitverhalten einer
gern kann. Der Mehraufwand in Phase 3 zahlt Schaltung fest und leitet anschheBend die not-
sich aufgrund geringerer Fehler durch eine wendigen Verkniipfungen ab. Daruber hinaus
wesenthch kiirzere Testphase und weniger Aus- kann man mit Hilfe des Pulsfahrplans auch sehr
13.2 Pulsfahrplan 491

-4 r 1 0 0 ms
-1 s- - 1 S-

Takt
j^nj^j^xLTLJi^iJTjnLrLjr^rLjnjnL^^

^3 k

Z\e\:P

3. Puis: a j Q"2Q3Q^

2. Puis: Q'^Q2QIQ4

I.Puls: ^^02^2^4

P= ( Q i 0 3 0 3 0 4 ) + ( Q i O 2 O 3 O 4 ) + ( 0 1 0 2 0 3 0 4 )

Bild 13-6. Pulsfahrplan fur einen Warntongeber.

kleine Zeitintervalle auflosen, um so beispiels- somit gegebenen Eingangs- und Ausgangsbedingun-


weise die Laufzeiten in Leitungen und Bauteilen gen sind im Pulsfahrplan in Bild 13-6 zusammenge-
zu berucksichtigen (Abschn. 13.3). stellt.
Um aus einem Pulsfahrplan die logische Ver- Im oberen Teil von Bild 13-6 ist der Takt und die vier
knupfung abzuleiten, mu6 das Eingangs- und Ausgangszustdnde des BCD-Zahlers (Qi, ^ 2 ' 63 ^nd
Ausgangsverhalten der Schaltung bekannt sein. Q4) aufgezeigt. Darunter befindet sich das Pulssignal
des Warntongebers (ein Pulstripel, das sich jede Se-
Dabei ist wichtig, da6 der Pulsfahrplan alle
kunde wiederholt). Um das Ausgangsverhalten einer
moglichen Zustande der Eingangssignale ent- Schaltung in zeitlichen Bezug zu den Eingangssigna-
halt. Dies zeigt bereits, daB periodische Ein- len zu bringen, miissen alle ZeitmaBstabe gleich sein.
gangssignale fiir diese Methode besonders ge- Betrachtet man den Ausgangszustand des Signals P
eignet sind. Das nachfolgende Beispiel soil diese zur Zeit der Pulse als wahr, so lassen sich folgende
Vorgehensweise verdeutlichen. drei Konjunktionen fiir das Pulstripel ableiten:
PUISI: P,=Q^W2Q3Q4
Beispiel PU1S2: P2 = Q^Q2Q3Q^
13.2-1: Fiir eine KontroIIeinheit ist ein Warntongeber Puis 3: P, = Q,Q2Q3Q4-
zu entwickeln. Hierzu erzeugt man mit Hilfe eines Die Uberlagerung (Superposition) aller drei Terme er-
Zahlers ein Pulstripel, dessen Einzelimpulse 100 ms folgt durch Disjunktionen (Abschn. 11.3); man erhalt
lang sind und einen Abstand von ebenfalls 100 ms so die Boolesche Gleichung fur das Ausgangssignal P:
haben. Das Pulstripel soil sich jede Sekunde wieder-
holen. ^=(e7e;e^e4) + (e;e2e;e;)
+ iQiQ2'Q3'Q4)-
L5sung: Die entsprechende Schaltung zeigt Bild 13-7.
Fur eine solche Aufgabe ist der Pulsfahrplan der
Ein weiteres Einsatzfeld fiir den Impulsfahrplan
ideale Losungsansatz. Da Pulse von einer Lange von
100 ms erzeugt werden sollen, betragt die Taktrate des ist die Uberpriifung zeitkritischer Schaltungen.
Zahlers 10 Hz (ergibt eine Periodendauer von Darunter versteht man Schaltungen, deren
100 ms). In einer Sekunde zahlt er demnach auf zehn, Funktion von der zeithchen Abhangigkeit der
was den Einsatz eines binar codierten Dezimalzah- Eingangssignale bestimmt wird. Dies kann bei-
lers, BCD-Zdhlers (s. Abschn. 11.2), ermoglicht. Die spielsweise der Schreibbefehl auf einen Speicher
492 13 Entwicklung digitaler Schaltungen

D= B'C
BCD-Zahler
I l_
Takt
(10 ms)
Q^ Q2 Q3 Q4 D = AA, mit A = l und A = 0 folgt
D = 0.
Wahlt man das Eingangssignal A = 0, so wird
1 1 1 wegen D =A' A der Ausgang D ebenfalls stets
$ zu null (Absorptionsgesetz, s. Abschn. 11.3.2).
Dieses Beispiel zeigt, daB sich die Boolesche
Algebra nur fur die Betrachtung von statischen
(eingeschwungenen) Zustanden eignet. Bei dy-
namischen Vorgdngen, die von verschiedenen
Laufzeiten abhangig sind, mu6 bei der Erstel-
I.Puls 2. Puis 3. Puis
lung der Booleschen Gleichungen eine Zeitauf-
teilung erfolgen, wie sie nachfolgend durchge-
fiihrt wird.
>1
Betrachtet man die Laufzeiten durch die Gatter,
so zeigt sich, daB das invertierte Signal um die
Laufzeit T des Inverters spater mit dem unver-
-TLTLrL zogerten Signal verkniipft wird. Fiir diese sehr
kurze Zeit ist die UND-Verkniipfung des Aus-
Bild 13-7. Schaltung des Warntongebers.
gangsgatters wahr und am Ausgang erscheint
ein Puis. Wegen seiner Kiirze bezeichnet man
sein (die Daten miissen wahrend des kurzen ihn oft als Nadelpuls. Wahrend der Laufzeit T
Schreibimpulses stabil am Speicher anliegen). gilt fur die Boolesche Verkniipfung der Ein-
Wichtig ist es auch, Laufzeiten durch Gatter gangszustand des UND-Gatters:
und auf Leitungen (Abschn. 13.3) zu beachten,
D=A'A, D = l.
um etwaige Storungen oder Fehlfunktionen
fruhzeitig zu erkennen (Abschn. 13.4: Glitch- a) Schaltung
Free-Design).
In einigen speziellen Schaltungen dienen die
&
unterschiedlichen Laufzeiten auch dazu, um
Zustandswechsel von Signalen zu erkennen. 1 0—1 ^c
Hier erzeugt man bei jeder Signalflanke einen
Puis. Ahnlich wie in der analogen Schaltungs-
technik handelt es sich hier um digitate Differen-
Inverter-Laufzeit
zierglieder. Zur Verdeutlichung der Funktions-
weise dieser Differenziergheder ist der Pulsfahr- b) Pulsfahrplan
plan besonders gut geeignet. Den prinzipiellen II
ji
Aufbau eines einfachen Differenziergliedes zeigt A
die Schaltung nach Bild 13-8 a, welche die Vor-
derflanke eines beliebig langen Pulses erkennen B ii
laBt. In Bild 13-8 b ist das Zeitverhalten der
Schaltung aufgezeichnet.
Aus Bild 13-8a ist weiterhin zu erkennen: Der
Puis am Eingang A gelangt ohne Verzogerung
zum Punkt B des UND-Gatters. Im zweiten
C
J .
jl

Zweig wird der Puis invertiert, bevor er auf das D


UND-Gatter gelangt (Punkt C). WoUte man ., JU
—H—
die Schaltung mit Hilfe der Booleschen Algebra
T
(Abschn. 11.3) beschreiben, so ergibt sich fiir
den Ausgang D (wenn Punkt A den Zustand „1" Bild 13-8. Pulsfahrplan zur Vorderflanken-Differen-
einnimmt) folgende Beziehung: zierung.
13.3 Leitungen fiir digitale Signale 493

Verzogerung durch einen Inverter Verzogerung durch drei Inverter Verzogerung durch fiinf Inverter

a) Schaltung a) Schaltung a) Schaltung

^ ^ &h^
L[THTHTHIHi>r"^
b) Pulsfahrplan b) Pulsfahrplan b) Pulsfahrplan
i

^M A
" ^ _ :

B
i r, B
rT_ ri
m m C
1 r 1

= ^ — ^
t

c) Darstellung auf dem Oszilloskop c) Darstellung auf dem Oszilloskop c) Darstellung auf dem Oszilloskop

Bild 13-9. Einflufi der Gafterlaufzeit auf die Pulsbreite.

Die Beschreibung dieser Schaltung mu6, wie so da6 man mehrere Inverter hintereinander
erwahnt, wegen der unterschiedlichen Laufzeit schalten muB. Dies liegt daran, daB neben der
in drei Zeitabschnitten erfolgen: fiir die Zeit vor Gatterlaufzeit vor allem die Anstiegs- und Ab-
der mafigeblichen Gatterlaufzeit T durch den fallflanken des Pulses eine Rolle spielen. Sie be-
Inverter (gekennzeichnet durch — T), fiir die wegen sich ebenfalls in der GroBenordnung der
Zeit wdhrend der Laufzeit T (gekennzeichnet Gatterlaufzeit und sind deshalb nicht zu ver-
durch T) und fiir die Zeit danach (gekennzeich- nachlassigen. Aus diesem Grund muB man von
net durch T+). Zusammenfassend kann man der ideahsierten Darstellung in Bild 13-8 abge-
die Schaltung folgendermaBen beschreiben: hen und die typischen Flankenzeiten der tat-
sachlichen Pulse beriicksichtigen. Bild 13-9
fiir - T : D=AA, D = 0,
zeigt den EinfluB von unterschiedlichen Gatter-
fur T: D=AA, D = l, laufzeiten (hier durch eine Aneinanderreihung
fur T+: D=AA, D = 0. mehrerer Inverter reahsiert) auf die Pulsbreite
Der Einsatz des Pulsverfahrens ist fiir diesen des Nadelimpulses. Die Bilder 13-9c sind mit
Fall wesentlich einfacher und iibersichtlicher. einer Oszilloskop-Kamera aufgenommen.
Bild 13-8b veranschaulicht das Ergebnis, das
sich sofort aus der Konjunktion der Signale B
und C ergibt. 13.3 Leitungen fiir digitale Signale
Die Gatterlaufzeit des Inverters hat dabei einen Die Schaltgeschwindigkeit digitaler Bauteile hat
maBgeblichen EinfluB auf die Breite des Aus- in den letzten Jahren erheblich zugenommen.
gangspulses. Oft reicht ein Inverter gar nicht Pulsanstiegs- und -abfallzeiten, die friiher nur
aus, um einen Puis am Ausgang zu erzeugen, mit ECL-Technik (ECL = Emitter Coupled
494 13 Entwicklung digitaler Schaltungen

Logic) zu erreichen waren, sind heute bereits liegt in den sehr groBen Frequenzanteilen der
mit CMOS-Bauteilen der Familie AC oder Schaltflanke, die unabhangig von der Grund-
ACT (Advanced CMOS oder Advanced CMOS periode des digitalen Signals sind. Darum gilt:
mit TTL-Eingang) zu erzielen (s. Abschn. 12.1.4).
Die Flankensteilheit liegt dabei bei etwa 2 ns Die Ubertragung digitaler Signale ist von
und die Schaltverzogerung der Bauteile bei un- der Steilheit der Schaltflanken abhangig und
gefahr 3 ns. nicht von der Taktfrequenz.
Die Zunahme der Taktfrequenzen und die Ver-
kiirzung der Schaltzeiten erhoht dabei die Ge- Den Beweis dafur hefert die Fourier-Reihe fiir
fahr der Leitungsreflexionen. Diese treten vor periodische Signale. AuBer der Grundschwin-
allem in folgenden Fallen auf: gung (Periode) enthalt diese, beispielsweise fiir
- die Taktfrequenz liegt nahe der Signallaufzeit ein Rechtecksignal, alle ungeraden harmoni-
der Leitung; schen Schwingungsanteile (Bild 13-10). Die ge-
- die Signallaufzeit der Leitung ist groBer als wichteten Amphtuden der Harmonischen for-
die kiirzesten Schaltflanken. dern ein unendliches Frequenzspektrum fiir die
Letzteres bedeutet, daB Reflexionen nur dann Rechteckschwingung, wie Gl. (13-1) zeigt:
wirksam werden, wenn sie nicht in die Schalt-
flanke hineinfallen (Abschn. 13.3.2.2).

13.3.1 Bandbegrenzung digitaler Signale


Von erheblichem EinfluB bei der Ubertragung Der hochfrequente Anteil eines digitalen Signals
digitaler Signale ist die Bandbreite des Signal- befindet sich also in dessen Schaltflanke. Kann
weges. Darunter versteht man die hochste iiber- eine Leitung nur Frequenzen bis zu einer be-
tragbare Frequenz der Leitung, die durch die stimmten Hohe iibertragen, so hat diese einen
Leitungskapazitat und -induktivitat begrenzt mafigeblichen EinfluB auf die Flankensteilheit,
wird. und es entstehen Uber- und Unterschwinger bei
Digitale Signale werden durch solche bandbe- den Ubergangen von 0 auf 1, bzw. von 1 auf 0.
grenzten Leitungen verformt. Der Grund dafur In diesem Fall spricht man von einem bandbe-

a) Rechtecksignal auf bandbegrenzter Leitung Leitungsbandbreite


Signalfrequenz

k =3 k =b k = 20

'WVS^

b) Spektraldiagramm der bandbegrenzten Leitung 11


Grundfrequenz des
digitalen Signals

.' I , : I i , I

-f Bandbreite der Leitung +f

-20 fn 20 fn

A: = 5
-k = 20-

Bild 13-10. tiberschwinger durch Bandbegrenzung.


13.3 Leitungen fiir digitale Signale 495

grenzten Signal. Bild 13-10 verdeutlicht diesen Sind diese gleichmaBig uber die ganze Leitung
EinfluB in Abhangigkeit vom k-Faktor. Dieser verteilt, spricht man von einer homogenen Lei-
gibt das Verhaltnis der Leitungsbandbreite zur tung.
Grundschwingung des digitalen Signals an. Bild 13-11 zeigt das Ersatzschaltbild einer Lei-
Unabhangig von der Grundfrequenz sind in tung, in dem die verteilten LeitungsgroBen nahe-
Bild 13-10 Leitungen aufgezeigt, welche nur die rungsweise als TeilgroBen dargestellt sind. Der
Grundfrequenz (/c = 1) bzw. einen bestimmten Leitwert GQ beschreibt den nicht idealen Isola-
Anteil an harmonischen Frequenzen iibertragen tor zwischen den beiden Leitungsadern.
(/c = 3 bis /c = 20). Die Begrenzung der Fourier- In dem vergroBert herausgezeichneten, sehr
Reihe und damit die Bandbegrenzung, ist in kleinen Teilstiick mit der Lange dx, sind diese
dem Spektraldiagramm darunter (Bild 13-1 Ob) typischen Elemente eingezeichnet. Entspre-
durch Vielfache der Grundfrequenz /Q darge- chend der homogenen Leitung sind alle Teil-
stellt. stucke dx und die sich darin befmdenden Ele-
Bild 13-10 zeigt eine weitere Eigenheit von mente gleich. Da diese Teilstiicke sehr klein
Sprungantworten, die durch die Fourier-Reihe sind, spricht man vom Leitungsbelag, der die
wiedergegeben werden: Selbst bei Hinzunahme charakteristischen Verhaltnisse pro Langenein-
weiterer Terme verschwindet der erste Uber- heit wiedergibt. Der Leitungsbelag wird folgen-
schwinger nach dem Sprung nicht. Mit etwa dermaBen gemessen:
9% der Sprunghohe (Amplitude) bleibt er bei - Kapazitatsbelag in pF/cm bzw. in pF/inch,
alien Naherungen fast konstant. Dieses bezeich- - Induktivitatsbelag in pH/cm bzw. in pH/inch,
net man als Gibbssches Phdnomen. Es riihrt von - Widerstandsbelag in Q/cm bzw. in Q/inch.
einer Unstetigkeit der Rechteckkurve bei der Damit kann man eine Leitung unabhangig von
Bandbegrenzung her. Die Fourier-Naherung
ihrer Lange eindeutig beschreiben.
hat an dieser Stelle ihren groBten Fehler mit
9%. Mit Hilfe der Kirchhoffschen Satze und einer
anschheBenden Differenzierung nach dx ergibt
Die Bandbegrenzung einer digitalen Leitung ist sich die Telegrafengleichung
im wesenthchen auf die Leitungskapazitat und
die Eingangskapazitaten der angeschlossenen h^u bu
Gatter zuriickzufiihren. Fiir hohe Frequenzen = R'G'u + (R'C + LG')
b^'' 57 +
wird dabei der Signalweg niederohmiger. Da- 5^u
mit verdeutlicht sich auch der EinfluB der An- + LC (13-2)
zahl der angeschlossenen Gatter auf die Uber-
5?'
tragungsqualitat des Signals (Abschn. 13.3.2.2). Der Wellenwiderstand ZQ einer Leitung ist eine
komplexe GroBe:
13.3.2 Reflexionen
allgemeine Leitung ^_
Neben der Bandbegrenzung digitaler Leitungen
sind vor allem Leitungsreflexionen eine haufige
Ursache fur Fehlfunktionen. Um Reflexionen
bei langeren Leitungen zu vermeiden, muB man
die Gesetze der Hochfrequenztechnik fur den
Ubertragungsweg anwenden. Fiir lange Leitun-
gen bedeutet dies einen entsprechenden Lei-
TinrTi
tungsabschluB (engl.: termination). Ist eine
Obertragungsstrecke verhaltnismaBig kurz, so
kann dieser LeitungsabschluB entfallen (Ab-
schn. 13.3.2.2).

13.3.2.1 Abgeschlossene Leitung


Jede Leitung, unabhangig von ihrer Geometric,
besitzt einen induktiven, einen kapazitiven und Bild 13-11. Leitungselement einer homogenen Lei-
einen ohmschen Anteil am Leitungswiderstand. tung.
496 13 Entwicklung digitaler Schaltungen

Analog zum Reflexionskoeffizienten ^L ^^ Ab-


Zo=. (13-3) schluBwiderstand (Lastwiderstand) laBt sich
Go+j CO Co' auch der Reflexionskoeffizient ^Q der einspeisen-
den Quelle ermitteln:
Da bei der Ubertragung digitaler Signale vor
allem der EinfluB hoher Frequenzen entschei-
dend ist (Gl. (13-1)), sind diejenigen Ausdriicke
dominant, in denen die Kreisfrequenz co vor-
kommt. Die GleichstromgroBen RQ und GQ
kann man vernachlassigen. Gl. (13-3) verein- RQ in Gl. (13-7) ist dabei der Ausgangswider-
facht sich dann zu stand (Quellenwiderstand) der einspeisenden
Schaltung. Die Reflexionskoeffizienten werden
zu null, wenn die Leitung sowohl am Eingang
als auch am Ausgang angepaBt ist. In diesem
Fall gilt

In diesem Fall spricht man von einer verlust- R^ = Zo und KQ = Zo (13-8)


losen Leitung.
Die Reflexionskoeffizienten beschreiben die
Eine verlustlose Leitung hat nur frequenz-
Spannungsverteilung auf der Leitung. Analog
abhangige Anteile (RQ = GQ = 0).
hierzu lassen sich die Brechungsfaktoren b^ und
bq fiir die ausgekoppelte Spannung an den
Der ideale tJbergang am Ende einer tjbertra- Enden bestimmen. Sie ergeben sich ebenfalls
gungsleitung laBt keinerlei Storung des Signals mit Hilfe von Gl. (13-5). Fur den Brechungsfak-
zu. In diesem Fall handelt es sich um eine abge- tor Z^L ani Leitungsende gilt
schlossene Leitung und es gilt: R^ = ZQ {RJ^ ist
der Abschlufiwiderstand). Ist die Leitung nicht 2R^
mit dem Leitungswiderstand abgeschlossen ^= (13-9)
(i^LT^Zo), so wird die Vorwartsspannung U^
dim Leitungsende reflektiert. Dabei bestimmt
der Reflexionskoeffizient Q die Hohe der reflek- und fiir den Brechungsfaktor b^ am Leitungs-
tierten Spannung U^. Mit Hilfe des Ohmschen anfang
Gesetzes laBt sich der Reflexionskoeffizient fol-
_ 2 .RQ
gendermaBen herleiten: Die Spannung am Ab- b^- (13-10)
schluBwiderstand ist U^ + U^, die gleich dem
Produkt (/^ +/r) • JRL sein muB. Da beide Span-
nungen auf der tJbertragungsleitung anhegen, Die Brechungsfaktoren konnen Werte von 0
gilt weiter: I^ = UJZQ und I^= —UJZQ. Das bis 2 annehmen. Dies bedeutet, daB bei einem
Minuszeichen zeigt dabei die zuriicklaufende LeitungskurzschluB keine Spannung ausgekop-
Welle an, und fur die Spannung am AbschluB- pelt werden kann, und daB bei einem offenen
widerstand gilt Leitungsende die Leitungsspannung zum Zeit-
punkt der Reflexion den doppelten Wert er-
reicht. Dieser Effekt kann fiir R^^ |> ZQ die Ein-
gangsschaltungen mancher digitaler Bauteile
zerstoren (die meisten sind jedoch durch
Schutzdioden abgesichert).
Der Reflexionskoeffizient ^L beschreibt das Ver-
haltnis der reflektierten zur ankommenden In Bild 13-12 sind die vier Moglichkeiten eines
Welle und ergibt sich deshalb mit Hilfe von Gl. Leitungsabschlusses am Ausgang nochmals zu-
(13-5) zu sammengefaBt. Nur fur i^L = ^0 erhalt man
keine riicklaufende Welle.
Die rechte Spalte in Bild 13-12 veranschaulicht
zum Vergleich das Verhalten mechanischer Wel-
len eines Seiles. Auch fiir sie gelten die Refle-
13.3 Leitungen fur digitale Signale 497

Schaltung Bemerkung mechanische Welle

offene Leitung Zo
offenes
Leitungsende
^L =
/v loses
Ende

/ \

kurzgeschlossene Kurz- /?, =0


Leitung
schluB

\ ^ testes Ende

falsch
abgeschlossene /?L>Zo <1
y\ -^
^L^^O
Leitung

StoBstelle

richtig
S-y\ -^
abgeschlossene ffL^^O «L=^0
Leitung

- *
StoBstelle
/V
Bild 13-12. Abgeschlossene und nicht abgeschlossene Leitung.
xionskoeffizienten nach Gl. (13-6). Beim offenen Bei einer angepaBten Leitung ist neben den
Ende addieren sich vor- und riicklaufende Welle Reflexionskoeffizienten und den Brechungsfak-
(doppelte Amplitude am Leitungsende), wah- toren auch der Einlauffaktor der Quelle AQ von
rend sie sich am festgebundenen Ende zwangs- Bedeutung. Er beschreibt die eingekoppelte
weise aufheben und die riicklaufende Welle des- Spannung auf die Leitung. In Abhangigkeit von
halb negativ ist. Der Fall der Anpassung ist bei RQ ist der Einlauffaktor stets < L Es gilt
diesem mechanischen Beispiel durch die An-
kopplung eines gleich dicken Seiles dargestellt.
Um eine Leitungsanpassung bei digitalen Si- (13-11)
RQ + ZQ
gnalen zu erreichen, muB man den niedrigen
Ausgangswiderstand und den hohen Eingangs- Die Anpassung von Leitungen hat den groBen
widerstand digitaler Bauelemente (Gatter, s.
Abschn. 12.1) betrachten. Die Leitungsimpe-
danz wird deshalb stets groBer als der Aus- Einlauffaktor SQ
gangswiderstand und kleiner als der Eingangs- Inverter Inverter
widerstand dieser Bauteile sein. Eine Leitungs-
anpassung am Leitungsende erfolgt durch einen 1

Parallelwiderstand zum Eingang des nachfol-


genden Gatters, wahrend die Erhohung des liill iiiiii
Ausgangswiderstands auf ZQ durch einen Langs-
wider stand erfolgt. Bild 13-13 zeigt eine nach Gl. Fiir die Anpassung gilt RQ = ZQ= R ^
(13-8) optimal angepaBte digitale Leitung. Bild 13-13. Leitungsanpassung.
498 13 Entwicklung digitaler Schaltungen

Nachteil, daB die Nutzsignale am Leitungsende widerstand RQ nicht unterschreiten. (Hinweis:


erheblich kleiner als die eingekoppelten Signale bei Mikrostrip-Leitungen kann man durch Ver-
sind. Fiir die ideale Einkopplung am Leitungs- andern der Leiterbahnbreite den Wellenwider-
anfang (Anpassung am Leitunganfang, RQ = ZQ) stand beeinflussen und gegebenenfalls hoch-
wird der Einlauffaktor aQ = 0,5 und somit nur ohmiger machen.)
die halbe Spannung auf die Leitung eingekop- Unter Berucksichtigung des Storspannungsab-
pelt. Damit steht bei einer ideal abgeschlosse- standes (Abschn. 12.1), ist auch eine defmierte
nen Leitung mit ZQ = R^^ ebenfalls nur die halbe Fehlanpassung am Leitungsende zulassig. Die
Spannung am Leitungsende zur Verfugung. All- Pulsverformung (Uber-/Unterschwinger) durch
gemein ergibt sich die Ausgangsspannung U2 in die so entstehenden Mehrfachreflexionen diir-
Abhangigkeit der Leitungsanpassung und der fen dabei keine weiteren Schaltvorgange in den
Eingangsspannung U^, wobei Mehrfachrefle- nachfolgenden Gattern auslosen. Abhangig von
xionen ausgeschlossen sind: der Logikfamihe konnen Uberschwinger bis zu
20% toleriert werden. Eine Uberpriifung sol-
^ 2 = ^ 1 • ^Q • h cher Fehlabschliisse erfolgt mit Hilfe des Lat-
tice-Diagramms, mit dem allgemein das Puls-
2 * ^L* ^ 0 (13-12)
U2-U, verhalten digitaler Signale auf einer Leitung
(i^Q + ^ o ) ( ^ L + ^o) beschrieben ist. Dabei werden die Reflexionsan-
teile an beiden Enden der Leitung iiberlagert,
Da die logischen Pegel digitaler Signale festge- wie nachfolgendes Beispiel veranschauhcht.
legt sind (Abschn. 12.1), ist eine vollstandige
Leitungsanpassung ohne zusatzliche Leitungs- Beispiel
empfdnger nicht moglich. Um diesen zusatz- 133-1: Auf eine Leitung wird ein Puis xibertragen.
lichen Aufwand zu vermeiden, verzichtet man in Der Wellenwiderstand ZQ der Leitung betragt 50 Q
der Kegel auf eine Leitungsanpassung am Lei- und sie ist mit 80 Q abgeschlossen. Der Ausgangs-
tungsanfang, was die vollstandige Signalein- widerstand des Leitungstreibers betragt 10 Q. Ge-
kopplung zur Folge hat (UQ = 1). Voraussetzung sucht ist das Pulsverhalten auf der Leitung (Lattice-
dafur ist, daB durch einen LeitungsabschluB am Diagramm) und die Pulsform am Eingang der nach-
Leitungsende kein riicklaufendes Signal ent- folgenden Schaltung.
steht, das wegen ^Q = 1 Mehrfachreflexionen
Losung:
hervorruft. Damit steht beispielsweise bei der
Einspeisung eines 5V-Signals (z.B. Ausgang Als erstes bestimmt man die Reflexionskoeffizienten
eines High-Speed-CMOS-Gatters) am Lei- ^L und ^Q nach Gl. (13-6) und Gl. (13-7) sowie den
tungsende der gesamte Pegel zur Verfugung, der Einlauffaktor AQ nach Gl. (13-11):
direkt weiterverarbeitet werden kann. 80Q-50Q 10Q-50Q
^L = 0,23, QQ- = - 0,67,
'80Q + 50Q" 10Q + 50Q"
Bei einer abgeschlossenen Leitung kann eine
Anpassung am Leitungsanfang entfallen. 50 Q
= 0,83.
10Q + 50Q
Allgemein ergibt sich damit fiir die Spannung Der Brechungsfaktor ergibt sich nach Gl. (13-9) und
U2 am Leitungsende (13-10) zu
2-800 2-lOQ
^L = = 1,23, ^o = 0,33.
80Q + 50Q' 10Q + 50Q
Die Bedeutung der Leitungslaufzeit und somit der
Jedoch sind nicht alle Bauteile in der Lage, eine Lange soil nicht speziell beriicksichtigt werden, son-
dern allgemein durch die Laufzeit t^^ (pd steht fiir
abgeschlossene Leitung zu treiben. In diesem
propagation delay, Laufzeitverzogerung). Das Lat-
Fall muB man neben den Pegeln auch den tice-Diagramm beschreibt nun die Spannungen am
maximal zulassigen Ausgangsstrom des trei- Eingang der Ubertragungsleitung (Punkt A) und am
benden Gatters betrachten und eine Verlustlei- Ausgang der Ubertragungsleitung (Punkt B). In hori-
stungsbilanz erstellen. Die Leitungsimpedanz zontaler Richtung tragt man die Leitungslange auf
darf deshalb den minimal zulassigen Ausgangs- und in vertikaler Richtung die Laufzeit auf dieser Lei-
13.3 Leitungen fiir digitale Signale 499

tung. Zum Zeitpunkt t = 0 koppelt das digitale Signal Zugriff erfolgt. Die Gleichungen (13-6) bis
im Punkt A mit dem Einlauffaktor ^Q = 0,83 ein. (13-11) beschreiben die Uberlagerungen (Super-
Nach der Laufzeit t^^ steht dieses Signal durch den position) der reflektierten und anschlieBend
Brechungsfaktor b^^ gewichtet am Punkt B an. Der ausgekoppelten Spannungen an den Leitungs-
reflektierte Anteil lauft mit r^ multipliziert zum Aus-
gangspunkt A zuriick, wo er sich nach 2 ^p^ mit dem Tabelle 13-1. Spannungsverteilung auf der Lei-
Ausgangssignal iiberlagert (Superposition der Teil-
spannungen). Die Spannungsverteilung an den End- tung.
punkten laBt sich allgemein in Form der Tabelle 13-1
darstellen. Laufzeit Spannung im Spanung im
t Punkt A Punkt B
Auf dieses Beispiel angewandt, erhalt man das zuge- Einkopplung Auskopplung
horige Lattice-Diagramm fiir fiinf Leitungslaufzeiten der normierten der gewichteten
gemafi Bild 13-14. Spannung Eingangs-
spannung
Lattice-Diagramme enthalten oft, wie Bild 13-14
verdeutlicht, die normierte Amplitude 1. Der 0 «Q 0
Einkoppelfaktor ag und die Reflexionskoeffi-
zienten ^Q und ^L geben dann direkt die auf die ^Pd «Q «Q^L
normierte Amplitude bezogenen StorgroBen
wieder. Auch der prozentuale Anteil der Ampli- ^tpd ^QK

tudenschwankung laBt sich direkt ableiten. «Q bq QL

Durch die Brechungsfaktoren in den Punkten A


3^pd
und B erhalt man das Pulsverhalten der Lei-
«Q ^Q ^L
tung am Eingang und am Ausgang, dargestellt
in Bild 13-15. 4tpd
Wie Beispiel 133-1 zeigt, ist besonders der
Spannungsverlauf an den Leitungsenden ge-
fragt, da dort die Aus- oder Einkopplung in
weitere digitale Schaltungen erfolgt. Das Span- 5^pd

nungsverhalten in der Leitung ist deshalb nur


von theoretischem Interesse, da auf dieses kein

1
1 1
1 _ J
1 ion 1 50n 1
1 1 1 ^LI | 8 0 ^
normierte | | nr
Amplitude I "
1
1 1 1
Brechungsfaktor { ^ . ^ ^ |. | Brechungsfaktor
aaa-^-'^c i^^^i 23
|V*«0 67 Reflexionskoeffizienten 0 2 3 " * ^ !
1 ! ' ' 1 1
Einlauffaktor
5Q f= 0- L 1 0,83- -—-->>0^3

1,021 — - f p d
0J9___JIII^^^^^=* aQ^L

0,893
(0,83+0,063)

-0^3__._IIII^^=:=* 0,864 —
(1,021-0,157)
0,884 *===^^I^II___0£2
(0,83 + 0,063-0,009)
0,888 — - 5 f p d
321-0,157 + 0,024)

Bild 13-14. Lattice-Diagramm zu Beispiel 13.3-1.


500 13 Entwicklung digitaler Schaltungen

f Spannung im Punkt A abschluB verhalt. Reflexionen entstehen, und


1,021 nur ein Teil der Signalspannung kann in die
1,0
0,83
0,893 0,884 W weiterfiihrenden Leitungen eingekoppelt wer-
-§0,8 _r 0,864 den.
|-0,6
< Jede Leitungsverzweigung stellt eine Dis-
Qj 0,4 — Spannung im Punkt B kontinuitat der Leitung dar.
I 0,2 h
o Mit Hilfe der Kirchhoffschen Gesetze (Abschn.
2fpd 3fpd 1.3) lassen sich die einzelnen Reflexionskoeffi-
4fp, 5fp,
zienten bestimmen. Allgemein gilt fiir behebig
Leitungslaufzeit
viele Leitungen mit unterschiedlichen Leitungs-
impedanzen Z fiir den Reflexionskoeffizienten
Bild 13-15. Pulsverhalten der Leitung in den Punkten ^1 der Leitung 1 mit der Leitungsimpedanz Z^
A und B. (Bild 13-14):

enden. Fiir den Spannungsverlauf am AbschluB- 1/Z,-1/Z,-1/Z3-1/Z^-...


widerstand iiber der Zeit laBt sich folgende 1/Z1 + 1/Z2 + 1/Z3 + 1/Z4+...'
Summenformel ableiten:
(13-16)
00

L/L^C/QAQ •^L-(13-14)

1/Q beschreibt dabei die von der Quelle abgege-


bene Spannung, die mit dem Einkoppelfaktor
aq auf die Leitung eingekoppelt wird. Die
Summe in der Mitte von Gl. (13-14) beschreibt
die Mehrfachreflexionen am Empfanger und
Sender mit den Reflexionskoeffizienten ^L und
^Q. SchlieBlich gibt h^ an, mit welchem Auskop-
pelfaktor die Spannung am Leitungsende dem
Verbraucher zur Verfugung steht. Bild 13-16. Leitungsverzweigung.
In gleicher Weise gilt fur den Spannungsverlauf
am Leitungsanfang In gleicher Weise lassen sich die Reflexionskoef-
fizienten der anderen Leitungen in bezug auf
den Verzweigungspunkt berechnen (Tausch der
U Q — L/QO * (IQ HQI-QQ- •^Q; (13-15)
Indizes).
fiir n = 0 (keine zuriicklaufende Welle) ist Der Anteil der Uberkopplung auf eine der Lei-
^Q=^QO.
tungen ergibt sich aus dem Brechungsfaktor
b^^. Dabei gibt n die treibende Leitung und m
n gibt dabei an, wie oft das Signal nach Mehr- die Leitung an, in die eingekoppelt wird. Fiir die
fachreflexionen an den Auskoppelpunkt ge- Einkopplung eines Signals von der Leitung 1 in
langt. die Leitung 2 ergibt sich so der Brechungsfaktor
Neben diesen Punkt-zu-Punkt-Verbindungen b^2 nach Gl. (13-17):
sind in der Digitaltechnik vor allem verzweigte
1/Z,
Leitungen anzutreffen. Auch fur diesen Fall laBt ^12 = 2
sich das Lattice-Diagramm entwickeln. Voraus- I/Z1 + I/Z2 + I/Z3 + I/Z4 + ...
setzung ist die Kenntnis der einzelnen Lei- (13-17)
tungsimpedanzen, dargestellt in Bild 13-16.
Im Verzweigungspunkt entsteht eine Diskonti- Da im Zahler lediglich der Kehrwert der Lei-
nuitat, die sich fur alle Teilstrecken wie ein Fehl- tungsimpedanz Z^ und im Nenner die Summe
13.3 Leitungen fur digitale Signale 501

aller Impedanzkehrwerte steht, andert sich der a) Lattice-Diagramm


Brechungsfaktor unterschiedlicher Leitungen Reflexionsfaktor 0,33 1 0
(Z2, Z3) im Verzweigungspunkt nicht. Damit Brechungsfaktor 0,66 2 1
gilt fiir die treibende Leitung
0 00 0
(13-18)
allgemein: b,^ = b, .

Der Brechungsfaktor in einem Verzweigungs- 10 f,


punkt ist in alien abzweigenden Leitungen 12 ^
gleich. Das nachfolgende Beispiel veranschau- 14 f,
licht die Verhaltnisse an einem Verzweigungs-
punkt.

Beispiel
b) Pulsverhalten
13.3-2: Das Pulsverhalten der Schaltung ist nach Bild
13-17 mit Hilfe des Lattice-Diagramms zu ermitteln. 0 h- _a5 0.335 'J:£^l_^48i

Die Leitungsimpedanz ist Z = 100 Q. 0,62


0 0,33
1
0,55
1

Losung: 0,66

Der Verzweigungspunkt B stellt die Diskontinuitat


0 1
10.442 0,508

der Leitungsimpedanz dar. An dieser Stelle gilt fur die 0,439 0,403

Leitungsreflexion nach Gl. (13-16)


5t. 10f.c 14 LH
1/Z,-1/Z,-1/Z3^-1/Z,^ 1
^B^
I/Z1 + I/Z2 + I/Z3 3/Zi 3' Bild 13-18. Lattice-Diagramm und Pulsverhalten fur
Beispiel 13.3-2.
Da alle drei Leitungsimpedanzen Z^ gleich sind
(Zi = Z2 = Z3 = ZQ), ergibt sich unabhangig von Z^ Die Brechungsfaktoren h^^ fiir den Verzweigungs-
der Reflexionsfaktor im Punkt B zu ^g = — 0,33. Fur punkt B erhak man nach Gl. (13-17):
das nicht abgeschlossene Ende C und das abgeschlos- 1/Zi
sene Ende D erhaU man die Reflexionskoeffizienten K^ = 2' = h.
Qj) = 0 und Qc — 1. \IZ^ + \IZ^ + \IZ^ 3
Im Punkt A wird ideal eingekoppelt, hierdurch erge- Da alle Leitungsimpedanzen gleich sind, ist auch der
ben sich QA = ^ und ^Q = 0,5. Brechungsfaktor in alien Richtungen gleich.

RQ- ZQ- R^_

-5/- -3/-

HUhi

Leitungspunkt "W: :;':'l'' t'M ;;:0>.:::


Einkoppelfaktor "§S:
Reflexionsfaktor '.^«ii liii ill: f:i:l
Brechungsfaktor '"i^'^l §m ...::.:i:;,;:::;:. V/'-i;: :'•:•;.

Bild 13-17. Leitung mit Stichleitung.


502 13 Entwicklung digitaler Schaltungen

Tabelle 13-2. Leitungen fur digitale Signale und ihr Wellenwiderstand.

Leitung Geometric Wellenwiderstand Z Bemerkungen

frei verdrahtete Leiter 60 f4h^


Leitung iiber gilt fiir hp d
einer Masse-
flache
(„wire over Masse
ground")

Koaxial-Kabel 60 (D Der Wellenwider-


stand koaxialer
71'»b Kabel wird meist
von den Herstellern
Abschirmung
bereits festgelegt.
Isolation Innenleiter

verdrillte
Leitung
(Twisted Pair-
v4 120 f2D Neben den geome-
trischen Bedingun-
gen hangt Z auch
Leitung) von der Anzahl
Schleifen pro cm ab.

Flachband- Wechscln sich


kabel Masse- und Signal-
leitungen ab, so exi-
stiert cin bestimm-
ter Wellenwider-
Masse stand. Dicser ist
Signalleitung von der Geometric
und dem Material
abhangig.

Streifenleiter 87 5,98/1 Am meisten ver-


(Microstrip- In wendete Technik.
^ £ , + 1,41 V0'8w-h^
Leitung) Gilt auch fur Mehr-
lagcn-Lcitcrplattcn
(Multi-Layer).
Epoxidharz
FR-4oderG-10

zweiseitig 60 4b Wird nur in beson-


geschirmter :ln deren Fallen ver-
Streifenleiter 0,67w7r(0,8+- wendet, wie bei-
(Strip-Leitung spielsweise in der
Oder Triplate- HF-Tcchnik.
Streifcnlcitcr)
13.3 Leitungen fiir digitale Signale 503

Mit diesen Angaben laBt sich das Lattice-Diagramm


nach Bild 13-18 aufzeichnen. In Bild 13-18 b ist das ^pd — ^O' ^0 (13-21)
Pulsverhalten an den vier Punkten A, B, C und D
dargestellt. (Das Diagramm wurde auf den Punkt A Diese Intrinsic-Laufzeit gilt nur fiir eine un-
normiert.) belastete Leitung. Die Intrinsic-Angaben be-
Diese Beispiele zeigen, daB bei der Berechnung ziehen sich dabei immer auf ein begrenztes
des Leitungsabschlusses in erster Linie die Leitungselement, meist auf 1 cm oder 1 Zoll
Kenntnis des Wellenwiderstandes der Leitung (2,54 cm).
notwendig ist. Wie Gl. (13-3) veranschaulicht,
ist dieser vor allem von der Leitungsinduktivitdt Belastete offene Leitung
LQ und 'kapazitdt CQ abhangig. In Tabelle 13-2
ist die Leitungsimpedanz Z fiir die wichtigsten Samtliche digitalen Bauelemente besitzen eine
Leitungen zusammengestellt. defmierte Eingangskapazitdt Q . Diese Ein-
gangskapazitat andert die Eigenschaften der
13.3.2.2 Offene Leitung Signalleitung. Sov^ohl der Wellenwiderstand ZQ
als auch die Leitungslaufzeit t^^ sind davon
Abgeschlossene Leitungen haben den Nachteil, maBgebUch betroffen. Fur den tatsachlichen
daB nach dem Spannungsteilerprinzip ein deut- Wellenwiderstand ZQ gilt
lich geringerer Pegel am Ende der Leitung zur
Verfiigung steht (s. Gl. (13-12)). Dies macht oft
den Einsatz spezieller Leitungsempfanger not-
wendig, die die Spannung wieder in den fur digi-
tale Bauelemente spezifizierten Pegel umsetzen
(Abschn. 12.1). In den meisten Fallen kann man und fiir die tatsachliche Leitungslaufzeit t'^^
von einem LeitungsabschluB absehen. Dies gilt
vor allem dann, wenn die Reflexion noch wah- ^pd ~ ZJQ ' ^ 0 V "^ ^ L / ^ 0 '
(13-23)
rend des Schaltvorgangs der Flanke erfolgt, ^pd = ^ p d V ^ "*" ^ L / ^ 0 •
also fiir elektrisch kurze Leitungen. Fiir diesen
Fall gelten die Gleichungen fiir den statischen Die beiden Gleichungen zeigen, daB der tat-
Zustand nicht. Die maximale Leitungslange sachliche Wellenwiderstand ZQ mit zunehmen-
^maj laBt sich somit recht einfach bestimmen zu der kapazitiver Belastung abnimmt, und die
tatsachliche Leitungslaufzeit f^^ zunimmt (CQ
(13-19) ist die Intrinsic-Kapazitat der Leitung und wird
in pF/cm angegeben, Q ist die Summe der ka-
pazitiven Last der Gattereingange). Damit ver-
Dabei gibt t^ die Schaltflankenzeit des Bauteiles kiirzt sich die maximale Leitungslange l^^^ auf
(entweder die Anstiegszeit t^ oder die Abfall- die tatsachliche Leitungslange /^^^:
zeit tf) an. Mit t^^ ist die Laufzeit auf der Lei-
tung (engl.: propagation delay) pro Langenein- ^max — S/^pd
hiK (13-24)
heit (in cm, FuB oder Zoll) beschrieben.
Setzt man Gl. (13-23) in Gl. (13-24) ein, so er-
Unbelastete offene Leitung halt man fiir die maximale Leitungslange eine
Bei einer unbelasteten Leitung ergibt sich die quadratische Gleichung. Sie ist vom Verhaltnis
leitungsspezifische Laufzeit ^p^, auch Intrinsic- der Eingangskapazitaten zur Intrinsic-Kapazi-
Laufzeit genannt. Sie hangt ausschlieBlich von tat (CL/ZO), von der Schaltflanke {t^) und der
der Leitungskapazitat CQ (Intrinsic-Kapazitdt) Intrinsic-Laufzeit {t^^) abhangig, wie Gl. (13-25)
und -induktivitat LQ (In trinsic-Induktivitdt) zeigt:
ab:

^pd — V ^ 0 ' ^ 0 • (13-20)

Mit Hilfe von GL (13-4) laBt sich fiir die Intrin- Da es keine negativen Leitungslangen gibt, ist
sic-Laufzeit /pjj auch schreiben die einzige Losung der Gl. (13-25)
504 13 Entwicklung digitaler Schaltungen

Tabelle 13-3. Leitungslangen in Abhangigkeit vom Gatter (Werte gelten fur eine Leiterbahnbreite
von 0,254 mm).

Aufbau der 2seitige Leiterplatte 4-Lagen-Multilayer


' Leiterplatte (Abstand der Leiterbahnen 1,5 mm) (Abstand der Leiterbahnen 0,3 mm)

\. Logik- AC, AS, S HC, LS, ALS AC, AS, S HC, LS, ALS
\. familien (Schaltflanken: 2 ns) (Schaltflanken: 5 ns) (Schaltflanken: 2ns) (Schaltflanken: 5 ns)
ange-\. in cm m cm in cm in cm
koppelteX
Gatter \^^

1 13,02 37,89 14,60 39,90

2 10,07 33,54 12,47 37,15

3 8,01 29,80 10,73 34,61

4 6,59 26,59 9,33 32,28

5 5,51 23,86 8,18 30,14

6 4,73 21,54 7,25 28,19

7 4,13 19,56 6,48 26,41

8 3,67 17,86 5,84 24,79

9 3,29 16,40 5,32 23,31

glasfaserverstarktem Epoxidharz. Sie haben


beispielsweise die Bezeichnung FR-4 oder G-10
und bei einer Frequenz von 1 MHz eine ty-
pische Permittivitdtszahl (Dielektrizitatskon-
Wie wichtig diese Betrachtung der Leitungs- stante) s, von 4,8 bis 5,4 (FR-4) und 5,0 bis 5,4
lange in Abhangigkeit von der angesteuerten (G-10). Der Wellenwiderstand einer Microstrip-
Gatterzahl ist, zeigt Tabelle 13-3 am Beispiel Leitung ergibt sich nach Tabelle 13-2 zu
der Streifenleitung.
87 5,98 • h
Zo- :ln . (13-27)
Streifenleiter (Microstrip-Leitung) 0,8-w + rf
Die gebrauchhchsten Leitungen bei der Her-
stellung einer gedruckten Leiterplatte (engl.: Bild 13-19 zeigt einen Querschnitt durch einen
PCB, Printed Circuit Board) sind die einfachen Streifenleiter.
Streifenleiter (Microstrip) und die Triplate-
Streifenleiter (Tabelle 13-2 und Abschn. 1.9.2). Leiterbahn
Vor allem die Streifenleiter gewinnen durch
I r'"'^K (Kupfer)
die Verwendung von Multilayerplatinen (Mehr-
lagenleiterplatte) mit zusatzlichen Masseebenen
immer mehr an Bedeutung (Bild 13-20 und Ab-

schn. 1.9.2).
Streifenleiter sind die typische Verbindung auf glasfaserverstarktes Masseflache f
zweiseitigen und mehrlagigen Leiterplatten. Am Epoxidharz (Kupfer)

gebrauchhchsten sind heute Materialien aus Bild 13-19. Aufbau eines Streifenleiters, zweilagig.
13.3 Leitungen fiir digitale Signale 505

Die IntrinsiC'Laufzeit t^^ ist beim Streifenleiter ler Schaltkreise hat die Bedeutung der Lei-
ausschlieBlich von der Permittivitatszahl s^ ab- tungslangen auf gedruckten Schaltungen zuge-
hangig. Die geometrischen Ausdehnungen ha- nommen. So haben die heute iibhchen Gatter-
ben dabei keine Bedeutung. Es gilt schaltungen (z.B. H C M O S , Abschn. 12.1.4)
Anstiegsflanken von 6 ns, neuere Gatterschal-
tpd = 0,0332 V'0,475e, + 0,67 . (13-28) tungen, wie beispielsweise die Advanced-High-
Speed-CMOS-Familien (Abschn. 12.1.4) sogar
Fiir die weitverbreiteten glasfaserverstarkten nur noch 2 ns. Die Eingangskapazitat der Gat-
Epoxidharzleiterplatten der Bezeichnung „FR-4" ter betragt 5 p F (HC) und 4,5 p F (AC). In
{Sj. = 5) erhalt man so eine Intrinsic-Laufzeit t^^ Abhangigkeit von der Schaltflanke und der An-
von zahl der angesteuerten Gatter laBt sich mit Gl.
(13-26) die maximale Leitungslange l^^^ bestim-
men. Fur n = 1 bis 10 sind diese in Tabelle 13-3
t d-FR-4 = 0,0583 ns/cm . (13-29)
zusammengefafit.
Die Notwendigkeit zur Berechnung der Lei-
Mehrebenen-Leiterplatten (Multilayer) tungslangen sowie die Problematik der Lei-
tungsbelastung bei komplexen Schaltungen
Da die Intrinsic-Laufzeit t^^ nicht von den geo- zeigt das folgende Beispiel.
metrischen Abmessungen eines Streifenleiters
abhangt, bleibt sie auch bei Mehrebenen-Lei-
Beispiel
terplatten konstant. Dagegen ist der Wellen-
widerstand ZQ der einzelnen Leitungen nach 13.3-3: Eine Rechnerkarte mit Speicher soil entwik-
Gl. (13-27) vom Abstand der Leitung zur kelt werden. Der Daten- und AdreBbus fiihrt zu insge-
Masseebene abhangig. Bild 13-20 zeigt einen samt 6 Speicherbauteilen und zu einem Bustranscei-
Querschnitt durch eine Vierlagen-Mehrebenen- ver, der die Peripherie steuert. Um zwischen den
Leiterpiatte mit typischen Werten fur die geo- AnschluBpunkten der Bauelemente hindurchfahren
zu konnen, wahlt man eine Leiterbreite von 0,5 mm
metrischen Abmessungen. (Dicke ^ = 17|im). Die Speicherbauteile haben eine
Eingangskapazitat von C^=4 pF, der Bustransceiver
von CL = 5 pE Bei einer Taktfrequenz von / = 12 MHz
typische treten Schaltflanken von 3 ns auf. Die Karte ist als
Werte
L,,—1/1/ — ^ Leiterbahn
in mm
Multilayer (FR-4) mit vier Lagen ausgefuhrt, wobei
t I l/iKupfer) die Signallagen zu den Spannungslagen einen Ab-
0,017
stand von h = 0,3 mm haben. Die maximale Buslange
IP 0,3
0,017
ca. ist zu bestimmen und das je Auskopplung notwendige
0,9
0,017
1,5 Teilstiick.
0,3
0,017
Losung:
I l-i. W2 ^
glasfaserverstarktes
Masseflache Nach Gl. (13-27) erhalt man fiir den Wellenwider-
(Kupfer)
Epoxidharz stand der Leitung ZQ = 50,14 Q.
Bild 13-20. Aufbau eines 4-Lagen-Multilayers. Mit Hilfe der Gin. (13-29) und (13-21) fiir die kon-
stante Intrinsic-Laufzeit erhalt man die Intrinsic-Ka-
pazitat Co:
Bei diesem Multilayer mit vier Ebenen werden
die mittleren beiden Lagen als Spannungsver- Co = tJZ^ = 1,1 pF/cm .
sorgungsebenen ausgefiihrt. Lage 2 wird dabei Die kapazitive Last C^ der Leitungen ergibt sich aus
als Masselage und Lage 3 als 5 V-Lage defmiert. der Summe der Eingangskapazitaten zu
Man erhalt dadurch eine ganzflachige Kapazi- C L = 6 - 4 p F + 5pF = 29pF.
tat, die wegen fehlender Induktivitatsanteile
Damit sind alle notwendigen GroBen zur Langenbe-
sehr gute Hochfrequenzeigenschaften besitzt.
stimmung bekannt, und Gl. (13-26) ergibt schlieBlich
Die auBeren beiden Lagen sind die Signallagen. C , = 15,73 cm.
Fiir diese Leitungen gelten in Abhangigkeit
Da an diesen Bus insgesamt sieben Bauteile ange-
vom Abstand zur Masseebene die Gin. (13-13) schlossen werden, steht zwischen den Anschliissen
bis (13-29). eine durchschnitthche Verbindungslange dx von
Mit der Entwicklung immer schnellerer digita- dx = 15,73/7 = 2,25 cm fiir die Bauteile zur Verfii-
506 13 Entwicklung digitaler Schaltungen

gung. Fur heute iibliche Speicher im DIL28-Gehause


(Abschn. 12.2) reicht dieser Platz gerade noch aus
13.4 Storfreier Entwurf digitaler
(Gehausebreite: 1,5 cm). Schaltungen (Glitch-Free-Design)
Dieses Beispiel und die Tabelle 13-3 zeigen, daB Nach der ausfiihrlichen Erlauterung der Schal-
die maximale offene Leitungsldnge vor allem von tungssynthese (Entwicklung und Aufl^au einer
der Schaltflanke t^ in Verbindung mit der kapa- Schaltung) und deren Optimierung in den vori-
zitiven Last CL abhangt. Soli auch bei groBeren gen Abschnitten soUen in diesem Abschnitt die
Schaltungen von einem LeitungsabschluB abge- moglichen Storungen behandelt werden, die
sehen werden, oder werden sehr viele Bauteile durch eine Schaltungsminimierung auftreten
von einer Leitung aus angesteuert, so kann mit konnen.
Hilfe eines Ldngswiderstandes RQ die Schalt- Die Minimierung einer Schaltung bedeutet stets
flanke abgeflacht werden. Die Leitungskapazi- die Reahsierung der Funktion mit geringstem
tat CQ+L (Intrinsic-Kapazitat plus alle Ein- Aufwand. Dabei laBt man die dynamischen
gangskapazitaten) und der Langswiderstand Schaltvorgange und die Gatterlaufzeiten zu-
RQ (Quellenwiderstand) bilden dabei ein Zeit- nachst auBer acht. Um die Funktion unter rea-
glied: len Bedingungen zu gewahrleisten, muB man
die Schaltung nicht nur auf ihre logische Ver-
Tj= Rn • C,gesamt * (13-30) kniipfung hin, sondern auch auf ihr zeitliches
Verhalten hin optimieren. Zur Vermeidung von
Fehlfunktionen ist deshalb besonders auf den
RQ ist dabei identisch mit dem Einkoppelwider- Ausgleich von Gatterlaufzeiten zu achten.
stand RQ bei Leitungsanpassung in Gl. (13-7). Deshalb fugt der Planer oft nach der Minimie-
Daher gelten auch die entsprechenden Glei- rung wieder zusatzliche Bauteile (redundante
chungen fiir den Einkoppelfaktor QQ und das Bauteile) ein. Redundante Bauteile haben kei-
Spannungsverhalten auf der Leitung. Um die nen EinfluB auf die Booleschen Gleichungen,
Einkoppelverluste moglichst klein zu halten, sind also logisch neutral. Sie beeinflussen je-
soUte RQ deutlich kleiner als der Wellenwider- doch durch zusatzhche Gatterlaufzeiten das
stand der Leitung gewahlt werden. Damit sind Zeitverhalten der Schaltung. Eine zeithche An-
die Moglichkeiten einer „Leitungsverlange-
passung durch redundante Bauteile wird dann
rung" eingeschrankt. Bild 13-21 zeigt den
notwendig, wenn Laufzeitunterschiede soge-
AdreBbus eines Mikroprozessor-Systems, bei
nannte ,,Glitches" entstehen lassen.
dem iiber Widerstande eingekoppelt wird.
Unter GHtches versteht man sehr kurze Stor-
pulse, die sowohl positiv (wahrend einer logi-
Mikroprozessor schen „NuH") als auch negativ (wahrend einer
logischen „1") entstehen konnen. Obwohl sie
nur wenige Nanosekunden lang sind, konnen
sie die nachfolgenden Gatter zum Umschalten
veranlassen. Da diese GUtches ungewollte
Signale sind, sorgen sie immer fiir eine Storung
AdreBbus
im digitalen Signalablauf.
Sie bestehen im wesenthchen aus einer anstei-
genden und einer abfallenden Flanke (die Puls-
breite ist vernachlassigbar klein), wodurch sie
mit normalen MeBmethoden oft gar nicht zu
t^= Pulsanstiegszeit erkennen sind. Nur sehr schnelle Oszilloskope
sind in der Lage, diese kurzen Ereignisse sicht-
Putsabflachung bar zu machen.
Besonders in flankengetriggerten Systemen kon-
nen GUtches zu Fehlfunktionen fuhren. Damit
wird deutlich, daB GUtches in zustandsgesteuer-
ten Netzwerken, die auf Pegel reagieren, kaum
Bild 13-2L Leitungseinkopplung uber Widerstande. Schaden anrichten. Uberall dort, wo Zustande
13.4 Storfreier Entwurf digitaler Schaltungen (Glitch-Free-Design) 507

mit einer Flanke (egal ob positiv oder negativ) Teilbild b vollkommen ausgeglichen, so daB alle
ubernommen werden, konnen sie die prinzi- Eingangssignale dieselben Laufzeiten bis zum
pielle Funktion der Schaltung blockieren. Ausgang erhalten.
Das Beispiel in Bild 13-22 zeigt den Ausgleich
Entstehung der Glitches von Laufzeitunterschieden ohne redundante
Bauteile. Auch die Verwendung von verschiede-
Glitches entstehen durch Laufzeitunterschiede
nen Logikfamilien (z. B. Schottky und LSTTL,
in den einzelnen Schaltungsteilen. Sind die Ver-
Abschn. 12.1) innerhalb einer Schaltung kann
knupfungswege der einzelnen Eingangsvaria-
wegen ihrer unterschiedlichen technischen Ei-
blen beispielsweise unterschiedlich lang, so
genschaften (z. B. Schaltgeschwindigkeit oder
kann bis zur Giiltigkeit des Ergebnisses der
Logikpegel) GHtches verursachen.
Ausgang fur kurze Zeit einen anderen Zustand
einnehmen und erzeugt so einen GUtch. Lauf-
zeitunterschiede konnen vor allem durch unter- Beispiel
schiedHch komplexe Signalzweige entstehen. 13.4-1: Die Variablen A, B, C und D treten am Aus-
Bereits bei der Entwicklung von Schaltungen gang eines Registers stets zum gleichen Zeitpunkt
muB man darauf achten, daB die Signallaufzeit auf (sie sind durch das Register synchronisiert). Die
in alien Zweigen annahemd gleich ist. Bild 13-22 Variable D soil dabei das Verkniipfungsergebnis von
zeigt zwei Schaltungsvarianten, die beide der- A, B und C an ein Flip-Flop (FF) freigeben oder
selben Booleschen Verknupfung geniigen. In sperren. Das FF hat dabei die Aufgabe, ein eintreten-
des Ereignis zu speichern (0-1 Ubergang). Die Boole-
Bild 13-22 a treten jedoch erhebliche Laufzeit-
unterschiede zwischen den Signalen auf, wie die
a) Verknupfung mit unterschiedlichen Laufzeiten:
Tabelle fiir die Punkt-zu-Punkt-Laufzeit zeigt.
Durch partielle Verknupfung werden diese in Verknupfung:

NOR NOR AND

a) Sequentielle Verknupfung der Eingangsvariablen A bis D


D J L I I
I ! Y=HB+C)+A)-D\
A
& k-E-ABCD >}\i JI I
Aii I B
JT I I
>i I I
I I C rf
SI I I
I I I ' I
I ^ I I ' I
Gatterlaufzeit von Punkt nach Punkt
Gatterlaufzeit von Punkt nach Punkt A B C D
A B C D 2T 3r 3r 1 r /
T 2r 3r 3r E

T = Gatterlaufzeit b) Verknupfung mit Ausgleich der Laufzeiten:

redundante Bauteile
b) Parallele Verknupfung der Eingangsvariablen A bis D \ \ I I
D • H i M-
I I & \Y={{B+C)+A)'D\
A •
I I A •

B -
^\ F^li—M
B •
-E = ABC-D
C •
"i >i I I
C • ! I
D -
I I I M I
= Gatterlaufzeit
r \ \ T \

Gatterlaufzeit von Punkt nach Punkt


Gatterlaufzeit von Punkt nach Punkt
A B C D
A B C D
2T 3T 3r ZT Y
2r 2T 2T 2r E

Bild 13-23. Storunterdriickung durch redundante


Bild 13-22. Vermeidung von Laufzeitunterschieden. Bauelemente.
508 13 Entwicklung digitaler Schaltungen

sche Gleichung (Abschn. 11.3) fiir das nachfolgende den mit einer Oszilloskop-Kamera aufgenommenen
Flip-Flop lautet GHtch. Das obere Signal entspricht dabei dem Frei-
gabesignal D.
Y=(A + B) + C)D.
Auf dem Foto in Bild 13-24 ist deutlich zu erkennen,
Der Ausgangszustand ist A = 1, B = 0, C = 0, D = 0. daB der Ghtch erst dann entsteht, wenn das Freigabe-
Der Ausgang Y ist damit 0, da durch D gesperrt. Bei signal D den logischen Zustand 1 erreicht hat. Von da
der nachsten Ausgabe der Variablen sollen die NOR- an dauert es etwa 12,5 ns, bis die Verkniipfung von A,
Verkniipfungen freigegeben werden (D = 1) und gleich- B und C wieder die Null bewirkt. Die Ursache des
zeitig soil die Variable A null werden. Die logische GHtches liegt im Laufzeitunterschied zwischen der
Verkniipfung im NOR-Zweig ergibt eine Null, so daB Verknupfung und dem Freigabesignal D. Dieser laBt
das UND-Gatter gesperrt wird. Doch bis sich diese sich durch das Angleichen der Laufzeiten vermeiden,
Verkniipfung durch die Gatter fortpflanzt, liegt noch wie in Bild 13-23b zu sehen ist. Dabei fiigt man in die
die vorhergehende „1" an. Es entsteht somit fiir die Freigabeleitung D zwei Inverter als redundante Bau-
Dauer der Verkniipfungszeit ein Glitch. Die logische elemente ein. Fiir den logischen Zustand des Signals
Verknupfung erfolgt mit der in Bild 13-23 aufgezeig- verhalten sie sich neutral, zeitlich werden jedoch zwei
ten Schaltung. Gatterlaufzeiten hinzuaddiert. Damit entspricht die
Die Verkniipfung der Eingangsvariablen A, B und C Signallaufzeit fur D in etwa der Verkniipfungszeit der
nimmt einige Nanosekunden in Anspruch, so daB fiir NOR-Gatter.
diese kurze Zeit der vorherige Verkniipfungswert
durch die Eingangsvariable D freigegeben wird. Mit Nicht nur der eigene Entwurf einer Schaltung
dem Pulsfahrplan nach Abschn. 13.2 laBt sich der enthalt Quellen, aus denen Glitches entstehen
Zusammenhang auf einfache Weise graphisch dar- konnen; auch kaufliche Bauelemente konnen
stellen. Bild 13-24 zeigt dieses Zeitverhalten sowie
unterschiedliches Laufzeitverhalten aufweisen.
Am deutlichsten wird dies bei grofien Speicher-
bauteilen. Dort zeigen sich zum Teil erhebliche
A Unterschiede in der Zugriffszeit auf die ver-
schiedenen Speicherzellen und deren Inhalt.
B Dies liegt vor allem in der sehr komplexen De-
synchronisierte
kodierung einer Speicheradresse, die auf dem
C Eingangssignale Chip in einen Spalten- und Reihenvektor umge-
setzt wird (Abschn. 12.3). Das nachfolgende
D
Beispiel verdeutlicht die entstehenden Laufzeit-
ATB \rr 1. NOR-Verknijpfung
unterschiede.

(A + B) + C 2. NOR-Verkniipfung Beispiel
TfT 13.4-2: Am Beispiel einer Schaltung, die einen Spei-

H 2r
Glitch am
Ausgang /
cher mit einer Taktfrequenz von 1 MHz ausliest, sol-
len die Laufzeitunterschiede innerhalb von Bauele-
menten aufgezeigt werden. Man betrachtet dabei nur
T = Laufzeit der NOR-Gatter
eine Datenleitung der Schaltung (s. Bild 13-25), die ein

hochintegriertes
Takt Bauteil

Bild 13-24. Entstehung eines Glitches. Bild 13-25. Pulsmustererzeugung mit Speicher.
13.5 Phase Locked Loop 509

Kanal 1 : Speicherausgang Dg Kanal 1 : Speicherausgang DQ


(deutliche Glitches wahrend 0) (unsynchronisiert)
Kanal 2 : Ringzahlertakt, 1 MHz Kanal 2 : synchronisierter Speicherausgang
(Synchronisation auf positiver
Flanke des Ringzahlertaktes)

Bild 13-26. Glitches am Speicherausgang.

Pulsmuster zur Verfiigung stellen soil. Der Ringzahler 13.5 Phase Locked Loop
sorgt dafur, daB sich dieses Pulsmuster standig wie-
derholt. 13.5.1 Grundlagen
Mochte man nun eine „0" fur die Dauer von 20 Takt-
zyklen auslesen, so muB der Ringzahler 20 Speicher- Der Phase Locked Loop (PLL) ist ein Regel-
zellen nacheinander ansprechen, die eine Null enthal- kreis, der Phasenunterschiede und Frequenz-
ten. Durch die Zeilen-/Spaltendekodierung innerhalb unterschiede zweier Signale erfassen und aus-
des Speichers entstehen unterschiedliche Laufzeiten gleichen kann. Er wird deshalb Phasenregel-
zu den adressierten Speicherzellen. Erst im einge- kreis oder Nachlauffilter genannt. Die mathe-
schwungenen Zustand (alle Dekoderlaufzeiten sind
verstrichen) liegt am Ausgang der Inhalt der ange- matische Behandlung des PLL ist auBerst
wahlten Speicherzelle. Wahrend des Dekodiervor- schwierig; deshalb wird nur die grundlegende
gangs kann der Ausgang einen behebigen Zustand Funktionsweise von PLL-Schaltungen, haupt-
annehmen. Der Pull-up-Widerstand am Ausgang sachlich des digitalen PLL, beschrieben. Bild
sorgt fiir diese Zeit, daB eine „1" anhegt. Das linke 13-27 zeigt das Blockschaltbild des PLL.
Foto in Bild 13-26 zeigt den Ringzahlertakt (unten) Wichtigster Bestandteil des P L L ist der Phasen-
und die am Speicherausgang entstandenen Glitches vergleicher (engl.: Phase Detector), kurz PD-
(oben) durch die Dekodierungszeiten, wahrend die
Nullen ausgelesen werden. Glied genannt. Ihm folgt ein Tiefpafifilter TP
Durch eine weitere Synchronisation kann man diese Vorwartspfa d
Glitches ausblenden. Das rechte Foto zeigt das Ein-
gangssignal vor und darunter nach der Synchronisa- ^Ref PD TP vco
tion. Synchronisiert wurde auf die ansteigende Flanke ^PD ^TP
spannungs- ^vco
^N Phasen- TiefpaB- gesteuerter
des Ringzahlertaktes (rechtes Foto), die genau zwi- vergleicher Oszillator
Filter
schen zwei Glitches liegt. Das ursprunghche Signal
verschiebt sich dadurch um die halbe Taktperiode.
Eine oft unterschatzte Gefahrenquelle fiir Ghtches
Rij c k w a r t s p f ad
sind asynchrone Zahlerbauteile (z. B. 74HC393).
Jeder Zahlerausgang ist vom Zustand der vorherigen
Zahlerausgange abhangig und kann erst nach deren N
stabilen Zustand durch eine weitere Verknupfung ge-
bildet werden. Bei asynchronen Zahlern ist es deshalb Teiler
wichtig, immer den eingeschwungenen Zustand abzu-
warten. Die dafiir notwendigen Zeiten sind aus den
Datenbiichern zu entnehmen. Bild 13-27. Grundschaltung eines PLL.
510 13 Entwicklung digitaler Schaltungen

mit einer bestimmten DurchlaBkennlinie H((o). U^{t) ist direkt abhangig von dem Ausgangs-
An dessen Ausgang steht eine Steuerspannung signal U^(t) des spannungsgesteuerten Oszilla-
Ujp, die den spannungsgesteuerten Oszillator tors; deshalb gilt
VCO (Voltage Controlled Oscillator) in seiner
Frequenz beeinfluBt. PD-Glied, TiefpaB und U^{t)=U^'C0s{a)^t + 2KKfUjp-\-(p^).
der VCO bilden den Vorwartspfad. Im Riick- (13-33)
wartspfad liegt ein fester oder programmier-
barer Teller, der die Ausgangsfrequenz des VCO
durch den Wert N teilt. Diese wesentlich gerin- 0)^ t ist dabei die Mittenfrequenz des VCO und
gere Frequenz wird wiederum dem Phasenver- 2KKf Ujp die durch die TiefpaBspannung Ujp
gleicher PD zugefuhrt. Der PLL ist damit in der erzwungene Frequenzabweichung von der Mit-
Lage, in Abhangigkeit von N die Ausgangsfre- tenfrequenz. Bei negativer Spannung Ujp ver-
quenz des VCO auf das AT-fache der Referenz- ringert sich die Ausgangsfrequenz des Oszilla-
frequenz einzustellen. tors; ist Ujp positiv, so erhoht sie sich. Die
Phasenlage des VCO-Ausgangssignals wird mit
Die Parameter dieser vier Funktionsblocke
(p^ bezeichnet. Den Zusammenhang zwischen
werden durch den Buchstaben K gekennzeich-
Ein- und Ausgangssignal des Tellers beschreibt
net, so daB sich fiir einen PLL vier Ubertra-
Gl. (13-34):
gungsparameter ergeben:
Kp Ubertragungsparameter des Phasenver- U^{t) = K^'UUt). (13-34)
gleichers,
Kf Ubertragungsparameter des TiefpaBfilters,
K^ Ubertragungsparameter des VCO, Mit Gl. (13-33) und Gl. (13-34) erhalt man
K^ Ubertragungsparameter des Tellers. schlieBlich die Beziehung fur das zweite Ein-
gangssignal U^ des PD-Gliedes:
Aufgrund der Beschaffenheit des Phasenverglei-
chers unterscheidet man vier PD-Typen. Bei U^{t)=U^'K^ (13-35)
einem PLL vom PD-Typ 1 spricht man von 1
einem linearen PLL, da samtliche Bauteile ana- 'Cos--{co^t-^2KK^Ujp-\-(p^).
loge Bauteile sind, die im linearen Bereich be-
trieben werden. Seine Eingangsspannungen
sind sinusformig. Die PLL mit den PD-Typen 2 Die Eingangssignale des PD-Gliedes fur einen
bis 4 sind digitale PLL (Abschn. 13.5.2). Die PLL des Typs 1 (linearer PLL) sind damit be-
Eingangsinformation des Phasenvergleichers kannt. Der Phasenvergleich im PD-Glied er-
sind digitale, also rechteckformige Signale. folgt durch einfache MultipHkation der beiden
Die Beschreibung der einzelnen Funktions- Cosinus-Schwingungen. Unabhangig von den
blocke erfolgt am Beispiel des linearen PLL. Phasenverschiebungen sei mit Hilfe der trigo-
Der Phasenvergleicher erhalt dabei das Refe- nometrischen Produktformel in Gl. (13-36) die
renzsignal t/Rgf als Cosinus-Schwingung nach Zuriickfiihrung der MultipHkation auf eine ein-
Gl. (13-31): fache Addition bzw. Subtraktion gezeigt. Es gilt

t / R e f W = ^Ref •C0S(0;R,f ^ + (PR,f). (13-31) cos (x) cos (y) = ^ (cos {x—y)-\- cos (x + y)).
(13-36)
Die Referenzfrequenz (Op^^f (auch Grundschwin-
gung genannt) besitzt die Phasenverschiebung Aus Gl. (13-36) laBt sich leicht erkennen, daB fur
(^Rgf. Das zweite Signal am Eingang des Pha- zwei gleiche Frequenzen cos(x) und cos{y) die
senvergleichers ist das VCO-Signal U^, das Differenz null wird und die Summe den doppel-
durch den Teller gewichtet (bewertet) ist. AUge- ten Wert ergibt. Dies bedeutet eine Frequenz-
mein gilt fur das Signal U^{t) im Riickwarts- verdopplung nach der MultipHkation. Der Tief-
pfad paB TP mit dem Ubertragungsparameter K^
hat somit die Aufgabe, diesen doppelt so hohen
Frequenzanteil herauszufiltern, um ein Ein-
^N (0 = ^N • cos (CON f + <PN) • (13-32)
rasten auf harmonische Frequenzen (Frequen-
13.5 Phase Locked Loop 511

zen, die ganzzahlige Vielfache der Grundfre- am Eingang voraus. Schreibt man anstelle der
quenz sind) zu vermeiden. Einen idealen Tief- Frequenz die Ableitung der Phase (co = d(p/dt)
pa6 hat bei normierter Amplitude die Ubertra- und fiihrt eine Laplace-Transformation durch,
gungsfunktion H{(j)): so erhalt man die Ubertragungsfunktion KQ des
Oszillators:
1 fiir co<(D„
H{co) = (13-37)
0 fur CO > co„.

Die Grenzfrequenz cOg bestimmt dabei den zu-


lassigen Frequenzanteil, den das TiefpaBfilter In der Literatur kommt fiir KQ auch die Be-
durchlaBt. Die daraus direkt abgeleitete Steuer- zeichnung Gvco(s) vor, um die Laplace-Trans-
spannung fur den VCO stellt wiederum dessen formation zu verdeutlichen. Der Ubertragungs-
Frequenz auf den entsprechenden Wert ein. parameter K^ des TiefpaBfilters ergibt sich
Sind beide Eingangsfrequenzen des PD-Gliedes direkt aus der Ubertragungsgleichung H{oS) des
gleich (co^^f = CD^X so ist der PLL eingerastet. Tiefpasses:
Wegen cos(x —y) = 0 erhalt man am Ausgang
des PD-GUeds eine Gleichspannung, die nur
noch von der Phasendifferenz cp^ — cp^^f abhan-
gig ist (in Gl. (13-36) nicht beriicksichtigt).
AuBerhalb von oj^^f = oj^ erhalt man wegen des Auch die Ubertragungsfunktion des Tiefpasses
TiefpaBfilters keine frequenzabhangige Steuer- wird oft mit der transformierten Funktion
spannung, so daB der Typ 1 nur phasensensitiv Gyp (s) beschrieben. Die verschiedenen TiefpaB-
ist. filter werden in Abschn. 13.5.3 naher erlautert.
Die Phasenlage der Cosinus-Schwingungen in Im Ruckwartspfad erhalt man den einzigen
einem Phasenregelkreis ist deshalb beim PLL Ubertragungsparameter K^\
vom Typ 1 von hauptsachHcher Bedeutung. Die
Phasen cp^ und (^R^f in Gl. (31-31) und Gl.
(13-33) sorgen fur einen Gleichspannungspegel
am Ausgang des Tiefpasses (unabhangig von t).
Setzt man beide Gleichungen in Gl. (13-36) ein,
so ergibt sich fur den Durchlafibereich des Tief- Mit Hilfe der Ubertragungsparameter laBt sich
passes die Differenz der beiden Phasen: nun die Ubertragungsfunktion FQ des Vorwarts-
zweiges beschreiben. Da der Ruckwartspfad
hierbei nicht eingeschlossen ist, spricht man
(P^ = 9 v - ^K^i ' (13-38) auch von einem offenen Regelkreis. Es gilt
Aus der Phasendifferenz (p^ — cp^^^ laBt sich die
Steuerspannung V^ am Ausgang des PD-Glie- FQ — Kp Kf K^,
des ableiten. Mit den obigen Gleichungen er- FO(S) = KPGTP(S)GVCO(S), (13-44)
halt man nun die Beziehungen fiir die Ubertra-
gungsparameter K einer Phasenregelschleife: Fo{s) = KpH{s)^.

Da im Ruckwartspfad nur eine Ubertragungs-


funktion beriicksichtigt werden muB, ist dieser
bereits durch Gl. (13-43) eindeutig beschrieben.

13.5.2 Digitaler PLL


Die Ubertragungsfunktion des VCO K^ in Gl. Im Gegensatz zum Unearen PLL (Typ 1) verar-
(13-40) setzt dabei einen linearen Zusammen- beitet der digitale PLL (Typ 2 bis Typ 4) digi-
hang zwischen der Ausgangsfrequenzanderung tale, d. h. rechteckformige Signale.
dco und der Anderung der Steuerspannung (7jp Der einfachste digitale PLL ist mit einem PD-
512 13 Entwicklung digitaler Schaltungen

a) EXOR b) 0° Phasenverschiebung c) 90° Phasenverschiebung d) 180° Phasenverschiebung


Verknijpfung

^1

>1 t

d2

t
^JT^
dy d2 Q
0 0 0
Q

t
"Lnnnru^ ° t
0 1 1
A n t l v a l e n z des e x k l u s i v e n ODER-Gatters
1 0 1
1 1 0 Tastverhaltnis TQ Tastverhaltnis TQ Tastverhaltnis T Q
am Ausgang Q: 0% am Ausgang Q: 50% am Ausgang Q : 100%

Bild 13-28. PD'Glied vom Typ 2.

Glied vom Typ 2 aufgebaut. Dabei handelt es schleunigt noch gebremst (Steuerspannung = 0),
sich um ein Exklusive-Oder-Gatter {EXOR-Gat- so hat die Phasenregelung ihren stabilen Punkt
ter), das die beiden digitalen Eingangssignale d^ erreicht. Die Regelkennhnie (Steuerspannung in
und ^2 miteinander vergleicht. d^ entspricht da- Abhangigkeit von der Phasenlage) fiir den PD-
bei dem Referenzsignal L^Ref (0 des linearen PLL Typ 2 zeigt Bild 13-29. Wird die Regelkennhnie
und ^2 dem durch N geteilten Ausgangssignal durch unterschiedhche Tastverhaltnisse be-
des VCO Uycoit)' Die Arbeitsweise des EXOR- grenzt, so flachen die Spitzen ab, wie es in Bild
Gatters sowie dessen Wahrheitstabelle zeigt 13-29 gekennzeichnet ist. (Darauf wird spater
Bild 13-28. nochmals eingegangen.)
Bild 13-28 a enthalt die Verkniipfungstabelle :^"',
o:
des EXOR-Gatters (Abschn. 11.3.1). Aus der c
3
V C
Wahrheitstabelle ist zu ersehen, daB der Aus- A

gang nur dann wahr ist, wenn die beiden Ein- / \ "3
A
/ \ ^ / \
gange unterschiedhch sind (Antivalenz). Sind / \ ^ / _ :
beide Eingangssignale gleich (Bild 13-28b) so- \ ^
wie die Phasenlage und die Frequenz gleich, /I Phasenwinkel ^
dann tritt keine Antivalenz auf. Der Ausgang Q |\
des Gatters bleibt zu jedem Zeitpunkt null; des-
halb wird fiir den VCO eine negative Regel-
1 \
spannung U^ abgeleitet. Betragt die Phasenver- 1 \
schiebung der beiden Signale d^ und ^2 genau n 1
-90° 90° 180°
(also 180°), so ist die Antivalenz zu jedem Zeit- -7r/2 7r/2 TT

punkt gegeben und der Ausgang des EXOR- Bild 13-29. Regelkennlinie jur den PD-Typ 2.
Gatters bleibt standig auf „1" (Bild 13-28 d). Die
Steuerspannung fur den VCO wird in diesem Die Steuerspannung des VCO ist bei einem
Fall positiv, so daB eine Beschleunigung der Tastverhaltnis von 0% bis 50% negativ und von
VCO-Frequenz auftritt. In Abhangigkeit vom 50% bis 100% positiv.
Tastverhaltnis TQ am Ausgang Q des EXOR- Haben die beiden Eingangssignale d^ und ^2
Gatters kann die Steuerspannung des VCO alle unterschiedhche Tastverhaltnisse, so tritt eine
Werte zwischen — U^ und + U^ annehmen. Bei Begrenzung der Regelkennhnie auf. Das bedeu-
einem Tastverhaltnis TQ von 50% wird die tet, daB fur mehrere Phasenwinkel dieselbe
Steuerspannung null, wie Bild 13-28 c zeigt. Die Steuerspannung aus dem Tastverhaltnis abge-
beiden Signale haben dabei eine Phasenlage leitet wird und dieses ebenfalls fur mehrere Pha-
von 90° zueinander. Wird der VCO weder be- senwinkel gleich bleibt (Bild 13-30).
13.5 Phase Locked Loop 513

Gleiche Tastverhaltnisse /•Q bei unterschiedlichen Phasenlagen tpvon c/^ und c/2

a) v? = 0° b) ^ = 90° c) 0°<v?<90°

1 d, d^
1 "^^
t t t

d.
LJl t
d.

t
d.
_ JU
^
t

t
Q Q
J\L J\L. t
T-Q = 30% rQ = 30% ^ Ta- -- 30%

Bild 13-30. Unsymmetrische Eingangssignale beim PD-Typ 2.

Da sich das Tastverhaltnis TQ des Ausgangs- Die beiden digitalen Eingangsspannungen d^


signals aus dem Verhaltnis der „r'~Dauer zur und ^2 setzen und loschen dabei abwechselnd
„0"-Dauer ergibt, bleibt es fur die Falle a bis cdas Flip-Flop durch ihre negative Flanke. Das
in Bild 13-30 gleich. Die begrenzte Steuerkenn- Referenzsignal d^ setzt dabei mit seiner abfal-
linie ist in Bild 13-29 rot eingetragen. Der Zieh-
lenden Flanke das JK-Flip-Flop auf „1" und ^2
bereich, der die Funktion des PLL sicherstellt, setzt es wieder zuriick. Die Pulsbreite am Aus-
wird demnach ebenfalls eingeschrankt. Er liegt gang Q entspricht dabei dem Abstand beider
symmetrisch zum Tastverhaltnis von 50% (90° abfallenden Flanken und ist somit unabhangig
Phasenlage). vom Tastverhaltnis der Eingangssignale (die
Liegen am PD-Glied vom Typ 2 Eingangssi- Lage der positiven Flanke zur negativen Flanke
gnale unterschiedUcher Frequenz, so stellt sich wird nicht festgehalten). Sind beide Eingangs-
immer ein Tastverhaltnis von 50% ein. Bild frequenzen gleich, so erhalt man am Ausgang
13-31 veranschaulicht das Frequenz- und Pha- ein Signal, das direkt proportional zur Phasen-
senverhalten des PD-Typs 2. verschiebung beider Signale ist. Bild 13-33 zeigt
diesen eingerasteten Zustand.
Fiir sehr groBe Frequenzunterschiede ACQ ist Fiir einen Phasenfehler von 180° (Bild 13-33 a)
leicht zu erkennen, daB die Antivalenz-Bedin- ist das Ausgangssignal des JK-Flip-Flop ein
gung fast im Takt der hoheren Frequenz erfullt symmetrisches Rechtecksignal (die abfallenden
wird. Das hierdurch entstandene 50%ige Tast- Flanken der Eingangssignale haben denselben
verhaltnis ergibt die Steuerspannung null. Auch Abstand); es hat somit ein Tastverhaltnis von
bei geringen Frequenzunterschieden erhalt man 50%. Wie oben bereits beschrieben, wird in die-
ein gemitteltes Tastverhaltnis von 50%. Fiir den
PLL ist dieser Zustand stets stabil. Eine Aus-
JK-Master-Slave-Flip-Flop
regelung des Frequenzunterschiedes (Frequenz-
ablage) erfolgt demnach beim Typ 2 nicht. Es
Uegt kein frequenzsensitives Verhalten des PD-
Gliedes vor. Das PD-GHed vom Typ 2 eignet
sich daher vorwiegend fiir symmetrische Ein-
gangssignale gleicher Frequenz, well es aus-
schUeBHch phasensensitiv ist.
Wird an Stelle der pegelabhangigen Verkniip-
fung des EXOR-Gatters eine flankenabhangige
Verkniipfung eingesetzt, so erhalt man den PD-
Typ 3; man reaUsiert ihn mit Hilfe eines Master-
Slave-Flip-Flop (Bild 13-32). Bild 13-32. PD-Glied vom Typ 3.
514 13 Entwicklung digitaler Schaltungen

S
13.5 Phase Locked Loop 515

Tastverhaltn is 7"Q am Ausgang Q des PD-T yps 3 in Abhangigk eit von unterschiedlichen Phasen ip

a) <p= 180° b) ^= 30° c) (/? = 340°

^1 d^

r— t t 1 t
1
1
d. [ ^2
t 1 t t
f ' ]f [ •
Q Q Q
\
f
T-Q = 50% ^ 7-Q<100% ^ 7'Q> 0%

Bild 13-33. Eingerasteter PLL vom Typ 3.


sem Fall keine Steuerspannung erzeugt, so daB das Ausgangssignal keinen EinfluB mehr. Um-
es sich um den stabilen Zustand des PLL han- gekehrt zeigt Bild 13-34 fiir d^ <^ ^2 ^^^ Tastver-
delt. Bei sehr kleinen Phasenfehlern (Bild 13- haltnis von nahezu null. Die davon abgeleiteten
33 b) wird das Tastverhaltnis nahezu null, wah- Steuerspannungen ziehen den VCO auf seine
rend es bei Phasenfehlern um 360° eins ist (Bild erwiinschte Frequenz, bis die Steuerspannung
13-33c). Die Phasenregelkennlinie weist des- null wird. Dies ist bei einem Tastverhaltnis von
halb einen Sprung beim Ubergang von 360° 50% der Fall.
nach 0° auf (Bild 13-34). Nahern sich die beiden Eingangsfrequenzen an,
so tritt eine Wechselwirkung zwischen der Pha-
sensensitivitat und der Frequenzsensitivitat auf.
Das Tastverhaltnis TQ andert sich periodisch
zwischen 0% und 100%. Dabei verursacht der
Phasensprung von 360° auf 0° ebenfalls einen
Sprung in der Steuerspannung, so da6 der VCO
mit einer sagezahnformigen Spannung modu-
liert wird (Bild 13-35). Da keine negativen Fre-
quenzen entstehen konnen, ergibt sich die Fre-
quenz der Sagezahnspannung aus \CD^—CJ021
Dies hat zur Folge, daB man bei annahernd glei-
chen Eingangsfrequenzen der VCO aufgrund der
Steuerspannung nicht unterscheiden kann, wel-
ches der beiden Signale d^ oder dj die hohere
Bild 13-34. Regelkennlinie des PD-Typ 3. Eingangsfrequenz besitzt. Deshalb tritt beim
PD-Typ 3 in der Nahe des eingerasteten Zu-
Im nicht eingerasteten Zustand sind die beiden standes ein nicht exakt definierbarer Bereich auf.
Eingangssignale d^ und ^2 verschieden. Der Die Erweiterung des Typs 3 fiihrt schlieBlich
PD-Typ 3 liefert an seinem Ausgang ein unsym- zum PD-Glied vom Typ 4. Der PD-Typ 4 be-
metrisches Taktsignal, dessen Tastverhaltnis steht im wesentlichen aus zwei RS-Flip-Flops
dem Frequenzunterschied beider Signale ent- (Reset/Set-Flip-Flops) und zwei nachgeschalte-
spricht. Bild 13-35 zeigt das Verhalten des PD- ten Latches („transparentes" Speicherelement).
Typs 3 bei Frequenzablage. Die Latches werden durch NAND-Gatter reah-
Am Beispiel von di^d2 soil der Vorteil des siert, wie Bild 13-36 zeigt.
flankengetriggerten PD-Gliedes verdeutlicht Die beiden Ausgange dieses PD-Typs werden
werden. Das durch J2 zuriickgesetzte Aus- mit „UP" und „DOWN" bezeichnet und ziehen
gangssignal des JK-Flip-Flop wird sofort durch den VCO in die entsprechende Richtung. Die
die nachste abfallende Flanke von d^ wieder Steuerspannung gewinnt man dabei aus dem
gesetzt. Die weiteren Flanken von d^ haben auf Mittelwert der beiden Steuersignale.
516 13 Entwicklung digitaler Schaltungen

55^

C5
13.5 Phase Locked Loop 517

r & \>
Frequenz durch den „DOWN"-Ausgang ge-
bremst. Bild 13-37 gibt eine Ubersicht iiber das
I T
s &M—oQ^, phasen- und frequenzsensitive Verhalten des
r<|R PD-Typs 4.
RS-FF Tragt man die Steuerspannung iiber der Phase
auf, so erhalt man die Regelkennlinie des PD-
Typs 4. Sie weist im Gegensatz zum Typ 3 einen
& k> -<^QH, Phasensprung bei 2n auf, wie Bild 13-38 zeigt.

C
[R I

&k>
C
-RS-Flip Flop- - Latches H
5//J13-36. PD-Glied vom Typ 4. Phasenwinkel ip

Zur Erlauterung der Funktionsweise dieses


PD-Gliedes vom Typ 4 seien folgende vier Falle I
betrachtet: I
I
a) Frequenzgleichheit mit Phasenvoreilung, I
b) Frequenzgleichheit mit Phasennacheilung, I I I I
-360°-270° -180° -90° 90° 270° 360°
c) Referenzfrequenz d^>d2, -7r/2 7r/2 3 7r/2 2-n
-2TT - 3 7r/2
d) Referenzfrequenz ^^ < ^2 •
Sind beide Eingangssignale d^ und dj gleich, so Bild 13-38. Regelkennlinie des PD-Typ 4.
bereitet im Fall a) die positive Flanke des Refe- Im Gegensatz zum PD-Typ 3 erhalt man bei
renzsignals d^ den „UP"-Ausgang vor. Mit der annahernder Frequenzgleichheit von d^ = d2 ein
negativen Flanke von d^ wird dieser dann auf eindeutig definiertes Signal. Das frequenzsensi-
null gesetzt. Die negative Flanke von ^2 setzt tive Verhalten auch bei sehr groBen Frequenz-
ihrerseits wieder das Ausgangssignal „UP" auf unterschieden ermoglicht theoretisch einen
eins. Die Pulsbreite entspricht dabei dem zeit- unendhchen Ziehbereich. Der VCO wird stets
lichen Versatz der beiden Signale (Phasen- von einer Seite her in einen stabilen Zustand
ablage). Der „DOWN"-Ausgang bleibt wah- gezogen, bei dem die Steuerspannung des VCO
rend dieser Zeit standig auf eins. Da das null wird.
PD-GHed vom Typ 4 mit negativer Logik (der
Zustand „0" wird als „wahr" angenommen) be-
trieben wird, steht die ,,1" am „DOWN"-Aus- 13.5.3 TiefpaB 1. Ordnung
gang fiir den inaktiven Zustand. Die Mittelung Das dem PD-GHed nachgeschaltete TiefpaBfil-
des inaktiven Ausgangs mit dem gepulsten ter ist in der Regel ein TiefpaB 1. Ordnung (Ab-
„UP"-Ausgang zieht den VCO in seiner Fre- schn. 8.4.3.1). Seine Ubertragungsfunktion er-
quenz nach oben (engl.: up). Dies erfolgt so- gibt sich aus dem Verhaltnis der Eingangsspan-
lange, bis der Phasenfehler ausgeglichen ist. nung zur Ausgangsspannung, wie Gl. (13-42)
Analog dazu pulst im Fall b) der Ausgang zeigt. Allgemein ergibt sich H{jco) nach Gl.
„DOWN". Er wird durch die positive Flanke (13-45):
von d^, wahrend ^2 ^ins ist, vorbereitet. In die-
sem Fall bleibt der Ausgang „UP" standig auf
eins (inaktiv).
Sind die beiden Eingangsfrequenzen d^ und ^2
unterschiedlich groB, so erkennt das PD-Glied, Fiir die Ubertragungsfunktion H{j(jo) des Tief-
an welchem Eingang sich die hohere Frequenz paBfilters lassen sich insgesamt vier Falle unter-
befmdet. Im Fall c) d^>d2 wird der „UP"-Aus- scheiden:
gang standig gepulst. Der VCO wird demnach 1. passives RC-Filter ohne Nullstelle (b = 0),
solange „beschleunigt", bis er dieselbe Frequenz 2. passives RC-Filter allgemein (a, b, c und
aufweist. Bei d) wird er wegen seiner hoheren d^O),
518 13 Entwicklung digitaler Schaltungen

— 1
1

3j
r~ i i it i i
+
^ J 1 •D

en
c
:3
•cT" dUI, c
A CD
Q.
A 1 ^ r~"~~
•cT ^ 0)
0}

c?)
a
> ^
c
T- CN 9-
?
c o
••
(U
C
o
U-
1
JZ
o
'5
,'—i1 1 . "" 1 '
i i

*"
1
' 1
I
• ^
i

*^ •a
i
*-
/ ^ 1— \ 1
ja CJ)
JC
/ . c
(D
Kt
/s ^
1
u
r~""^
J 3
C
c
CT3
a

o
II
/ 7 p—J
<u

3
/ ^ ' • I J 1 OJ

< / r=l ^ Im (75

/ ^ ^ a o
§

/ f
c?
c
i 1 1
i^ Ji , I , 1 i
,
/ ""~"^ ^ p=I
T3

DC 1/ . C

1 / c
II
1
lA
1 ,

c
a
C

to

\ ^^ s ^ 3
' »
J
0)
> \ ^ CO
\ \
r ^
r- CM
^
Q-
Q=
c
§
o
1
T3

o
. I
+- 1
i \+- i^ i 1
. •<-
\ cz ZH Z] d'
05
^ zn p=3 c
3
\ 00 C
C
1 1—
1
CO
Q.
\ II
1—1 c=J
'O)
\ ^- Z-J <u
3
1 , 1
1c
Cf)
CO
\ Q)

CO
'—1 r—J 1—'
x: \ :l •^
,- rsi

^
Q-

a
c
o
" 1
'53 •D

L- S a
^
x:
s
0)
3 i \^ i
1 1
Jf m
N 03

t3 1 1 c
3
V , 1
V CO
Q
1
a
J2
"^ CU
3
§
0)
' 1 C/3
3
<1 y1 y -
^^
- CM Q-
§
o
•D
a
aa
13.5 Phase Locked Loop 519

3. aktives Filter ohne Nulls telle (b und c = 0), nung ausreichend ist, so daB kein TiefpaB hohe-
4. aktives Filter mit Pol- und Nullstelle (c = 0). rer Ordnung als 1 notwendig ist.
Die aktiven Filter unter 3 und 4 stellen dabei
Integrator en dar. Nachfolgende Tabelle 13-4 Zur Ubung
zeigt den Aufbau der vier Filter 1. Ordnung so- U13-1: Warum eignen sich besonders periodische
wie ihre Ubertragungskennlinie. Ereignisse fur die Entwicklung mit Hilfe des Pulsfahr-
plans?
Abhangig vom Ordnungsgrad des Filters laBt
sich die Ordnung der PLL-Schaltung bestim- U13-2: Fiir einen Pulsgenerator soil eine Schaltung
men. Allgemein gilt, daB der Ordnungsgrad ei- entwickelt werden, mit deren Hilfe man das Tastver-
nes Netzwerkes durch den Ordnungsgrad der haltnis am Ausgang in 5%-Schritten einstellen kann
(unter dem Tastverhaltnis versteht man die Dauer der
zur Losung notwendigen Differentialgleichung
„1" zur Gesamtdauer des Pulses, wenn die Angabe in
bestimmt wird. Fiir die Phaseniibertragungs- % erfolgt). Dies soil durch einen Ringzahler erfolgen,
funktion (Grundfunktion des PLL) erhalt man dessen Zahlfrequenz von der Pulswiederhol-Fre-
allgemein ein Polynom erster Ordnung, das die quenz abhangig ist.
Ubertragungsfunktion des TiefpaBfilters bein- a) Wieviel schneller mu6 der Ringzahler zahlen?
haltet. Setzt man die Ubertragungsfunktion des b) Wie viele Produkt-Terme erwarten Sie bei einem
TiefpaBfilters ein, so erhoht sich der Ordnungs- Tastverhaltnis von 5%, 25% und 75%?
grad um die Ordnung des Tiefpasses. Allgemein c) Zeichnen Sie den Pulsfahrplan fiir ein Tastverhalt-
gilt daher: nis von 10% und fiir 85% und stellen Sie die Boole-
schen Gleichungen auf
d) Durch welchen „Trick" vereinfacht sich die Boole-
Ordnungszahl des PLL gleich Ordnungs- sche Gleichung beim Tastverhaltnis von 85% erheb-
zahl des Filters plus 1. lich?
U13-3: Digitale DifferenziergHeder werden in der
Bei der Verwendung eines TiefpaBfilters 1. Ord- Schaltungstechnik haufig gebraucht.
nung erhalt man demnach einen PLL 2. Ord- a) Entwickeln Sie mit Hilfe des Pulsfahrplanes eine
nung. In der Praxis hat sich gezeigt, daB in Schaltung, die die abfallende Flanke eines Pulses dif-
nahezu alien Anwendungsfallen ein PLL 2. Ord- ferenziert.

Tabelle 13-4. Filter 1. Ordnung.

Fihertyp passive Filter aktive Filter

1. passives Filter 2. allgemeines 3. aktives Filter 4. aktives Filter


ohne Nullstellen passives Filter mit Polstelle aber mit Pol- und Null-
ohne Nullstelle stelle

Schaltung

1 l+7COi^2<^ 1 I+JC0R2C
F{jco) =
l+jwi^C jcoRC jcoR^C

uk ^k Uk

Kennlinie
520 13 Entwicklung digitaler Schaltungen

b) Entwickeln Sie mit Hilfe des Pulsfahrplanes eine a) Welche Voraussetzung muB erfullt sein, damit ein
Schaltung, die beide Flanken differenziert. storungsfreier Betrieb moghch ist?
c) Kann man mit der Schaltung unter b) eine Fre- b) Welche GroBen haben darauf einen maBgeblichen
quenzverdoppelung erreichen? EinfluB?
d) Die Schaltung in Abschn. 13.2 zur Differenzierung
der Vorderflanke und die Schaltung nach a) sollen in U13-9: In einer synchron arbeitenden Schaltung
einer gemeinsamen Schaltung verbunden werden, die werden alle Ereignisse durch einen 20 MHz-Takt
einen Ausgang fiir die Vorderflanken-Pulse und einen (Flankensteilheit 3 ns) synchronisiert und festgehal-
Ausgang fiir die Riickflanken-Pulse besitzt. Wenden ten. Dazu steuert man durch den Takt insgesamt 18
Sie dabei die Gesetze von de Morgan an (Abschn. Flip-Flop-Bauteile an, die eine Eingangskapazitat
11.3.2). von 4 pF besitzen. Die Schaltung ist auf einer Multi-
layer-Karte (FR-4) aufgebaut. Die Leiterbahnen ha-
U13-4: a) 1st der A;-Faktor eine Leitungskonstante? ben eine Breite von 0,5 mm und einen Abstand von
b) Tritt bei k = 1000 auch eine Bandbegrenzung des zu 0,3 mm zur Masseflache.
iibertragenden Signals auf? a) Wie groB ist der Wellenwiderstand der Leitungen?
ij 13-5: Das Ausgangssignal einer digitalen Schal- b) Wie groB ist die Intrinsic-Kapazitat der Leitung?
tung soil iiber eine Leitung mit Z = 150Q iibertragen c) Wie groB ist die gesamte kapazitive Last?
werden. Bei einem Pegel von 4,5 V kann man den d) Welche maximale Leitungslange ergibt sich daraus?
Ausgang maximal mit 20 mA belasten. e) Wie groB diirfen demnach die mittleren Leitungs-
a) Wie groB ist der Quellenwiderstand RQ zu wahlen, langen zwischen den Bauteilen sein? Ist das reali-
damit der Ausgang nicht uberlastet wird? stisch?
b) Welcher Einkoppelfaktor ^Q ergibt sich damit? f) Welche Moghchkeiten zur Abhilfe gibt es?
c) Wie reduziert sich die Ausgangsbelastung der g) Da keine abgeschlossenen Leitungen verwendet
Schaltung bei Anpassung am Leitungsanfang? werden sollen, soil ein „Takt-Baum" (engl.: clock-tree)
d) Ist diese eingangsseitige Leitungsanpassung auch die Funktion sicherstellen. Dazu werden Puffer-Bau-
bei einem Wellenwiderstand von Z = 100 Q moglich? teile (buffer) verwendet, deren Schaltflanken ebenfalls
3 ns betragen. Ziel ist es, eine mittlere Leitungslange
U13-6: Zur Ubertragung eines Fernsehsignals wird zwischen den Bauteilen von > 3 cm zu erhalten. Be-
ein 75 Q Koaxial-Kabel verwendet. Die Einspeisung stimmen Sie die Anzahl der Bauelemente („Aste") des
in das Kabel erfolgt durch einen speziellen Treiber Taktbaumes und zeichnen Sie die Schaltung.
ohne Anpassung. Das Kabelende ist mit einem 68 Q- h) Welche Gefahr besteht bei einem Takt-Baum?
Widerstand abgeschlossen. i) Priifen Sie Punkt h) fiir obigen Takt-Baum nach
a) Wie groB ist der Einlauffaktor AQ? und erganzen Sie ihn gegebenenfalls durch redun-
b) Wie groB sind Brechungsfaktor und Reflexions- dante Bauelemente.
Koeffizient am Leitungsende?
c) Wie groB ist der FehlabschluB? U13-10: Ghtches sind Storpulse in Schaltungen.
d) Zeichnen Sie das Lattice-Diagramm fiir 1 Reflexion a) Wodurch entstehen sie?
auf dem Kabel und tragen Sie den normierten Span- b) Durch welche zwei Moghchkeiten kann man sie
nungsverlauf fiir beide Enden auf. beseitigen?
il 13-7: In einem Verzweigungspunkt treffen sich vier U13-11: Die AdreBdekodierung zur Speicherauswahl
Leitungen. Am Leitungsanfang von L^ sitzt eine ideal in einem Mikroprozessor-System verwendet die
angepaBte Quelle, so daB L^ die einspeisende Leitung AdreBleitungen A 20 bis A 23. Ein 4-zu-16-Dekoder
in den Knoten ist. Die Leitungslangen L^: L2: L3: L4 mit einer Dekodierzeit von maximal 22 ns erzeugt in
verhalten sich wie 3:1:2:1. Die Wellenwiderstande Abhangigkeit von A 20 bis A 23 das Chip-Select-
der Leitungen sind Z^ = 150 Q, Z2 = 200Q, Z3 = 75 Q Signal fur die entsprechende Speicherbank. Seinen
und Z4 = 150 Q. Alle Leitungen sind mit 100 Q abge- Ausgang schaltet man durch das Signal OE (engl.:
schlossen. output enable) aktiv.
a) Zeichnen Sie den Leitungsknoten mit den entspre- a) Welche zeitliche Anforderung besteht an das Signal
chenden Langenverhaltnissen und tragen Sie die Ab- OE?
schluBwiderstande ein.
b) Welche Gefahr besteht, wenn OE zu friih aktiviert
b) Bestimmen Sie a^, b^ und Q^ fiir alle StoBstellen. wird?
c) Erstellen Sie das Lattice-Diagramm. c) Welche Moghchkeiten der Abhilfe hatte man im
d) Tragen Sie den Spannungsverlauf an alien vier Lei- Fall b)?
tungsenden auf. d) Konnte dem Problem in b) begegnet werden, in-
U13-8: Die meisten Schaltungen reahsiert man mit dem die Adressen synchronisiert werden und somit
nicht abgeschlossenen Leitungen. zum selben Zeitpunkt am Dekoder anstehen?
521

rende Anzahl von Begriffen und Abkiirzungen,


14 ASIC die zum Teil von Hersteller zu Hersteller ver-
schieden sind. In Abschnitt 14.1 sind die ge-
Steigende Anforderungen an Baugruppen und brauchlichsten Abkiirzungen erlautert.
die Losung komplexer Probleme durch Schal- Grundsatzlich lassen sich die ASIC-Bauteile in
tungen machen immer mehr den Einsatz indivi- zwei Gruppen einordnen: Halbkunden-Bauteile
dueller Digital- und Analogbauteile notwendig. (Semi-Custom) und Kunden-Bauteile (Custom,
Auch der Trend zur Verkleinerung bestehender oft auch als Vollkundenschaltkreise, Full-Cus-
Schaltungen spielt dabei eine entscheidende tom, bezeichnet). Als Halbkunden-ASIC be-
Rolle. Moglich wird dies durch Bauteilfamilien, zeichnet man Bauteile mit einer vorgefertigten
die unter dem Begriff kundenspezifische Bauteile Struktur. Sie werden dem Kundenwunsch ent-
Oder ASIC (Application Specific Integrated Cir- sprechend nachtraglich verdrahtet. Dies kann
cuit) zusammengefaBt sind. Grundsatzlich neu durch ein programmierbares Verkniipfungsfeld
ist der Begriff des kundenspezifischen Bauteils geschehen oder durch das nachtragliche Auf-
nicht. Bereits Mitte der sechziger Jahre waren bringen einer oder mehrerer Metallisierungs-
sie bekannt, batten jedoch erst Anfang der acht- ebenen. Aus diesem Grund heiBen sie auch
ziger Jahre den notwendigen Durchbruch er- Halbkunden-Bauteile, da ledighch die Metalh-
zielt. Durch ASIC werden Teilfunktionen einer sierungsebenen dem Kundenwunsch entspre-
Schaltung oder sogar ganze Schaltungen auf chend zu fertigen sind. Kunden-ASIC baut man
einem Silicium-Pldttchen, dem Chip, zusam- nicht auf vorhandenen Fertigungsmasken auf,
mengefaBt. Je nach Komplexitat kann das Ge- sondern entwirft und fertigt sie volHg nach dem
hause mit mehr als 300 Anschliissen (Pins) ver- Wunsch des Anwenders. Bild 14-1 gibt eine
sehen sein. Ubersicht iiber die gebrauchhchsten ASIC-
Mit der raschen Verbreitung der kundenspezifi- Bauteile, eingeteilt in Kunden- und Halbkun-
schen Schaltkreise entstand auch eine verwir- den-ASIC.
Komplexitat

ASI C

Halbkunden- Kunden-
ASIC ASIC

X
programmierbare Standard- Vollkunden-
logische Bauteile Gate-Array Zellen-
ASIC
(PLD) Array

digital digital analog digital analog digital j I analog

PAL
E3
Kanal- j 1 kanal-
Gate- lose
analoge
Gate-
Standard-Zellen-ASIC
Elektronenstrahl-
alle kauflichen
integrierten
EPLD EEPLD LCA FPGA Arrays Gate- Arrays Direkt- Schaltkreise
GAL
1 Arrays Schreibverfahren

X X T
gemischte gemischte Mixed-
Gate- Standard- Mode
Arrays Zellen

Bipolar Bipolar Bipolar Bipolar


CMOS CMOS CMOS CMOS
GaAs ECL ECL ECL

Bild 14-1. Ubersicht iiber ASIC.


522 14 ASIC

Fiir die Verwirklichung einer Schaltung in ei- grammierbaren Bauteile (PLD, Programmable
nem kundenspezifischen Schaltkreis sprechen Logic Device) selbst bei Einzelstuckzahlen sehr
vide Griinde: giinstig. In Bild 14-1 rechts stehen die Vollkun-
- geringerer Platzbedarf, denschaltkreise, deren Entwicklungskosten oft
- kiirzere Schaltzeiten und damit schnellere 100000 DM iiberschreiten und somit auch
Verarbeitung der Signale, groBe Stiickzahlen voraussetzen. Bild 14-2 zeigt
- geringerer Leistungsbedarf bei gleicher Ge- Komplexitat, Aufwand und Kosten fiir die ver-
schwindigkeit, schiedenen ASIC-Famihen.
- verbesserte Storsicherheit wegen fehlender Nur bei einigen wenigen Entwicklungsvorha-
Leitungsverbindungen, ben ist es denkbar, daB der hohe Entwicklungs-
- kostengiinstige Produktion, da nur noch we- aufwand, beispielsweise eines Gate-Arrays,
nige Bauteile auf der Leiterplatte zu bestiik- auch bei kleinen Stiickzahlen gerechtfertigt ist.
ken sind, Ein Beispiel dafiir ist die Flugfunktechnik, bei
- kostengiinstige Lagerhaltung, da die Vielfalt der Platz, Gewicht und Zuverlassigkeit eine be-
der Bauelemente zuriickgeht. sonders groBe Rolle spielen.
Diesen offensichtlichen Vorteilen stehen
- ein hoher Entwicklungsaufwand,
- damit hohe Entwicklungskosten und 14.1 Ubersicht
- eine spezielle Entwicklungsumgebung ein-
schlieBlich umfangreicher Simulationen 14.1.1 Digitale ASIC-FamiUen
entgegen, die schlieBlich nur durch entspre- Die Vielfalt der ASIC-Famihen in Bild 14-1 ist
chende Stiickzahlen zu akzeptablen Preisen das Ergebnis unterschiedhchster Anwendun-
fiihren. Die Entwicklungsumgebung und Simula- gen. Welche schheBhch zum Einsatz kommt,
tion konnen bei sehr komplexen Bauteilen in hangt nicht zuletzt von der Komplexitat, den
den meisten Fallen nur noch speziell ausge- Kosten und natiirhch auch vom Entwicklungs-
bildete Applikations-Ingenieure in besonderen aufwand ab. Im nachfolgenden sei eine Darstel-
ASIC-Design-Center vornehmen, in denen lung der wichtigsten ASIC-Bauteile gegeben.
groBe Computer zur Simulation zur Verfiigung Dariiber hinaus sind im Abschnitt 14.1.2 die
stehen. Der Einstieg in die kundenspezifi- gemischten analog-digitalen Gate-Arrays be-
schen Schaltkreise ist durch die kleineren pro- schrieben.

1
PAL
EPLD 1 1
1 1
1 11
Gate-Arrays

ASIC- 1 1 1
Technologie 1 Standard-Zellen-
1 Schaltkreise
1 1 1
1 Vollkunden-
1 Schaltkreise
1
1
1 1 1 k.
I I I
Komplexitat 2000 20000 200 OC)0 Gatter pro Chip
^
Entwicklungskosten 1000 50000 150000 DM
Stiickzahlen 1000 10000 lOOOC)0 Stuck pro Jahr
Entwicklungszeit 1 6 12 Monate
1 1. .1,,. - _,-
Bild 14-2. Aufwand bei der ASIC-Entwicklung.
14.1 Ubersicht 523

PAL stand die Speicherentwicklung Pate. Das Ver-


fahren, das bei den EEPROM Verwendung fm-
PAL steht fiir Programmable Array Logic und
det (Abschn. 12.2.2), erlaubt das Loschen eines
wird auch oft mit PLA abgekiirzt. In seinem
Bauteiles durch eine defmierte Loschspannung.
Kern ist es ahnlich aufgebaut wie ein PROM
Damit kann man Bauteile beispielsweise direkt
(Abschn. 14.2). Im wesentlichen zieht man fur
in der Schaltung programmieren, loschen oder
die Realisierung der logischen Verkniipfung ein
rekonfigurieren.
AND-Array heran, das an ein OR-Array ange-
koppelt ist. Die Programmierung des PAL er- Gate-Array
folgt im Entwicklungslabor mit einem einfa-
chen Programmiergerat, das in der Kegel auch Mit dem Gate-Array steigt die Komplexitat der
fiir PROM (Programmable Read Only Me- ASIC stark an. Hier stehen dem Anwender be-
mory) und EPROM (Erasable Programmable reits mehr als 300000 Gatter-Funktionen zur
Read Only Memory) Verwendung fmdet. Die Verfiigung, die zur ReaUsierung seiner Schal-
PAL zahlen zu den preisgiinstigsten ASIC und tung nutzbar sind. Ein Gatter (engl.: gate) ent-
lassen sich oft in weniger als einer Stunde her- spricht dabei einer UND-Verknupfung und ist
stellen. das kleinste Element eines Gate-Arrays. Diese
kann man jedoch nicht mehr durch einen ein-
fachen Programmiervorgang miteinander ver-
GAL
kniipfen, sondern muB sie mit Hilfe von Mas-
Die GAL (Generic Array Logic) verfugen zu- ken, die einen zusatzlichen, kundenspezifischen
satzlich iiber eine programmierbare ODER- Fertigungsschritt erfordern, verdrahten (Ab-
Matrix (OR-Array, Abschn. 14.2). Dariiber hin- schnitt 14.3).
aus lassen flexible Ausgangsmodule eine Reihe Wahrend das Gate-Array eine strukturierte An-
unterschiedlicher Konfigurationen zu, die so- ordnung mit Verdrahtungskandlen besitzt, ist
wohl interne Riickkopplungen als auch eine diese bei den Sea of Gates-Bauteilen (See von
Ankopplung an ein Bussystem durch Tri-State- Gattern) vollig aufgelost. Die Verdrahtung
Ausgdnge erlauben, die hochohmig geschaltet durch eine oder mehrere Metalhsierungsmas-
werden konnen. Das GAL-Bauteil erlaubt so ken erfolgt dabei iiber die Gatter hinweg, was
hochste Flexibilitat bei der Programmierung lo- einen geringen Teil nicht nutzbar werden laBt.
gischer Netzwerke. Die Handhabung ist dabei Die Anzahl der realisierten Gatter auf dem
durch entsprechende Software-Unterstiitzung Chip liegen aber heute bereits bei iiber 300 000.
gleichermaBen einfach wie bei den PAL. Ein vollig neuer Trend hat Anfang der neun-
ziger Jahre Einzug in die Entwicklungslabors
EPLD gehalten: Die Realisierung von Schaltungen
mit programmierbaren Gate-Arrays. Diese neue
EPLD steht fiir Erasable Programmable Logic
ASIC-Familie erlaubt die Erstellung des kun-
Device. Mit diesem Bauteil ist es gelungen, den
denspezifischen Bauteiles bis hin zu ersten funk-
Ubergang zu einem loschbaren ASIC zu schaf-
tionsfahigen Mustern durch den Planer im
fen, analog zum Ubergang vom PROM zum
Labor. In Abschn. 14.3.3 wird darauf noch aus-
EPROM. Zur Programmierung und zum
Loschen setzt man den von den EPROM her fiihrlich eingegangen.
bekannten Effekt (Einbindung heifier Elek-
Standard-Zellen-Array (Standard Cell Array)
tronen in die Basiszone, s. Abschn. 12.2.2) ein
und kann damit die programmierte logische Mit dem Standard-Zellen-Array (Standard Cell
Verknupfung unter der Einwirkung von ultra- Array) vollzieht sich der Schritt zu den Kunden-
violettem Licht wieder ruckgangig machen. Der schaltkreisen. Wesentlicher Unterschied zu al-
prinzipielle Aufbau und die Programmierung ien vorherigen ASIC-Bauteilen ist der komplette
eines EPLD entspricht dem eines PAL. Aufbau des Chips nach den Angaben des Kun-
den. Demnach greift man nicht auf vorgefertigte
EEPLD Strukturen wie bei den PAL und den Gate-
Arrays zuruck, sondern erzeugt stets alle Mas-
Auch bei der Entwicklung der EEPLD (Electri- ken fur das Bauteil von Grund auf neu. Damit
cal Erasable Programmable Logic Device) hegen die Entwicklungskosten fur diese ASIC-
524 14 ASIC

Bauteile wesentlich hoher und setzen entspre- um den digitalen Kern angeordnet. Bild 14-3
chend hohe Stiickzahlen fur eine kostengiin- zeigt ein analog-digital gemischtes Gate-Array
stige Fertigung voraus. in bipolarer Technik.
Bei der Entwicklung eines Standard-Zellen- Aus der Aufteilung der verschiedenen Felder ist
Bauteiles greift man auf standardisierte Schal- deuthch der notwendige Platzbedarf der analo-
tungsteile, sogenannten Makros, zuruck. Diese gen Funktionsblocke zu erkennen. Der digitale
Makros sind in einer Bibliothek als ein kleines Kern in der Mitte benotigt nur einen Bruchteil
Programm abgelegt und lassen sich durch die der Gesamtflache. Der Chip vom Typ TSFJ09
CAD-Software aufrufen. Deshalb spricht man hat auBer einigen sehr schnellen ECL-Gatter-
hier auch von Soft-Makros. blocken (ECL, Emitter-Coupled-Logic, Abschn.
12.1.5) auch einen D/A-Wandler und einen ein-
VoUkundenschaltkreise (Full Custom IC) gebauten Oszillator. Die Widerstandswerte, die
auf dem Chip zur Verfiigung stehen, reichen von
Fur den Vollkundenschaltkreis sind ebenfalls,
100 ^ bis 50 kQ, die Kondensatoren haben eine
wie bei den Standard-Bauteilen, samtliche Mas-
Kapazitat von 2,5 pF und 7 pF. Hier wird die
ken zu erzeugen. Die Entwicklung des Bauteiles
bereits oben erwahnte Einschrankung bei den
erfolgt jedoch nicht mehr iiber Makro-Biblio-
theken, sondern liber optimierte Verfahren auf passiven Bauteilen deuthch.
Siliciumebene. Auch diese sehr aufwendige Ent- Die Verdrahtung dieses Chips erfolgt durch eine
wicklung setzt hohe Stiickzahlen voraus. zweilagige MetaUisierung (engl.: Double Layer
Metallization). Wie diese fiir eine kleinere
Schaltung zu reahsieren ist, zeigt Bild 14-4.
14.1.2 Analoge ASIC Mit Hilfe von CAE/CAD-Unterstutzung (Com-
puter Aided Engineering, Computer Aided De-
Die ReaHsierung analoger ASIC ist wesentlich sign) setzt man die einzelnen analogen und digi-
schwieriger als die ReaHsierung digitaler Bau-
talen Bauteile zu Makros zusammen. Dabei
teile und stellt daher eine hohe technologische
iibernimmt der Computer die Konstruktion
Herausforderung fur die ASIC-Industrie dar.
beispielsweise eines Operationsverstarkers aus
Dabei liegt das Problem nicht bei dem Aufbau
einzelnen Transistoren und Widerstandsele-
aktiver Elemente, wie beispielsweise Transisto-
menten. Auf analogen und gemischten Gate-
ren, Stromquellen und Operationsverstarker,
Arrays befinden sich Schaltelemente, wie sie
sondern vielmehr bei den passiven Bauelemen-
typisch fiir integrierte Schaltungen sind. Ein
ten wie Widerstande, Kondensatoren und In-
Beispiel dafiir ist der Multiemitter-Transistor,
duktivitaten. Da man die passiven Bauelemente
als Einzelbauteile durch andere Grundstoffe als der auch bei der Verwirkhchung digitaler
das ASIC-Chip herstellt, also nicht aufHalblei- Schaltfunktionen mit mehreren Eingangen Ver-
terbasis (z. B. Kohleschichtwiderstande und Fo- wendung findet. Im nachfolgenden Bild 14-5
lienkondensatoren), kann man auch nur einen sind einige wichtige Schaltelemente zusammen-
sehr geringen Teil durch besondere Silicium- gestellt sowie Funktionsmakros, die man daraus
strukturen nachbilden. Dabei sind groBere Kon- herstellen kann.
densatoren und Induktivitaten nahezu unmog- Analoge ASIC, bei denen die Funktion durch
hch. Um dennoch eine Beschaltung der aktiven die Verdrahtung vorgefertiger Makrozellen rea-
Bauelemente (Transistoren, Operationsverstar- Hsiert wird, heiBen auch Makrochips.
ker) auf dem Chip zu ermoglichen, bringt man Das Einbinden analoger Funktionen ist bei den
spezielle Strukturen auf dem Silicium auf. Da Standard-Zellen Bauteilen einfacher. Hier greift
diese sehr viel Platz beanspruchen, sind sie nur man nicht auf bestehende Teilfunktionen zu-
in geringer Anzahl moghch. riick, sondern baut den Chip von Grund auf
Die meisten analogen ASIC besitzen neben neu auf. Dadurch ergibt sich auch eine Optimie-
ihren analogen Funktionen einen digitalen rung bei der Plazierung analoger Baugruppen,
Kern, der die Moghchkeiten des Chips erheb- eine wichtige Voraussetzung bei hohen Schalt-
lich erweitert. Dadurch sind beispielsweise ana- geschwindigkeiten und Verarbeitungsfrequen-
log/digitale Schnittstellen, wie A/D- und D/A- zen. So ist mit Hilfe von Standard-Zellen eine
Wandler zu verwirkhchen. Die analogen Ma- analoge Signalverarbeitung bei Frequenzen von
krozellen sind bei den gemischten Gate-Arrays 100 MHz (UKW-Bereich) moglich, spezielle
14.1 Ubersicht 525

DHallD DdtzjdD Beschreibung der einzelnen Funktionsblocke:

- Bond-Pad

1 ECL-Eingangstreiber
2 ECL-Referenzspannungsquelle
= Ausgangstreiber 3 ECL-Schaltelemente
4 Bandgap-Referenzspannungsquelle
5 D/A-Wandler
6 Oszillator
7 Register
^ Kondensatoren 8 analoge Zellen
9 digitale Zellen (I^L-Technik)
10 Widerstande und Kondensatoren
11 Leistungstransistoren (npn und pnp)

Bild 14-3. Analog-digital gemischtes Gate-Array.


linuQOH^dg Werkfoto: SGS-Thomson.
526 14 ASIC

Bild 14-4. Schaltungslayout fur den Chip TSPJ09.

Einzelbauteile Makro-Funktionen

Transistoren Multi-Emitter- Widerstande teste


Transistoren Verbindungen Verstarker Komparator Stromquelle

GnD

f5V spannungsgesteuerter
Oszillator
Oszillator (VCO)
H[
Eh
PAD A/D-Wandler D/A-Wandler
H[

Bild 14-5. Schaltelemente und Makros analoger Gate-Arrays.


14.2 Programmierbare logische Bauteile (PLD) 527

GaAs-Bauteile arbeiten sogar bis weit in den Bindeghed zwischen den Logik-Famihen und
Giga-Hertz-Bereich hinein. den Gate-Arrays bzw. Kunden-IC einzuord-
Die Verwendung von ASIC-Bauteilen bedeutet nen. Der groBe Vorteil dieser Bauteile liegt vor
in jedem Fall auch einen Schutz der Schaltung allem darin, daB die Herstellung der angestreb-
vor unbefugtem Nachbau. Nicht wenige Firmen ten Funktion nicht durch einen zusatzUchen
sichern sich so einen technologischen Vor- ArbeitsprozeB wahrend der Chipherstellung er-
sprung. Einige ASIC-Typen, die von Firmen folgt, sondern durch einfache Programmierung
entwickelt oder durch Forschungslabors finan- im Entwicklungslabor. Damit hat der Planer die
ziert wurden, sind kauflich. Sie tragen die Be- sehr schnelle und effiziente Moglichkeit, eine
zeichnung ASSP (Application Specific Stan- logische Funktion auf einem Chip zu verwirk-
dard Product). In Abschn. 14.4.3 sind sie kurz lichen. So kann er Fehler sehr schnell erkennen
beschrieben. und durch einfaches Umprogrammieren besei-
tigen. PLD-Bauteile ersetzen durchschnitthch
10 Standard-Bauelemente und haben vor allem
dort Einzug gehalten, wo Losungen mit kombi-
14.2 Programmierbare natorischer Logik gefragt sind. Ein typisches
logische Bauteile (PLD) Beispiel hierfur sind AdreBdekodierungen. Se-
quenzielle asynchrone Schaltungen lassen sich
Unter dem Begriff der programmierbaren logi- hingegen nur schwer verwirklichen, da bis auf
schen Bauteile (engl.: Programmable Logic De- einige Ausnahmen nur eine synchrongetaktete
vices, PLD) sind die PAL (Programmable Ar- Registerebene zur Verfugung steht.
ray Logic), EPLD (Erasable Programmable
Logic Device) und EEPLD (Electrically Erasa- Wegen der grundsatzlich gleichen Struktur der
ble Programmable Logic Device) zusammenge- Bauteile sei Aufbau, Programmierung und
faBt. Vorgestellt wurden die ersten PAL bereits ReaHsierung einer Schaltung in den nachfol-
1977 von MMI (Monolithic Memories Inc.). genden Abschnitten exemplarisch am Beispiel
Die interne Verknupfungsstruktur zur Realisie- des PAL-Bauteiles aufgezeigt.
rung der logischen Funktion ist bei alien Bau-
teilen gleich. Wesentlicher Unterschied ist die 14.2.1 Aufbau des PAL
Herstellung der programmierten Funktion (Programmable Array Logic)
durch verschiedene Verfahren.
In Anlehnung an die Technologic verschiedener Das PAL laBt sich in vier Teilbereiche untertei-
nicht fluchtiger Speicher, wie beispielsweise das len: der Eingangsschaltung, dem AND-Array,
PROM (Programmable Read Only Memory, dem OR-Array und der Ausgangsschaltung.
Abschn. 12.2.2), stellt man auch bei diesen Bau- Unter einem Array versteht man ein Verkniip-
teilen die Verbindungen entweder durch Ab- fungsfeld, das beispielsweise beim AND-Array
schmelzen kleiner Sicherungselemente (speziell aus programmierbaren UND-Verkniipfungen
beim PAL) oder durch Einlagern energiereicher besteht und beim OR-Array aus ODER-Ver-
Elektronen in die Basiszonen der Transistoren knupfungen. Das OR-Array ist auf dem Chip
(EPLD und EEPLD) her. Wahrend ein pro- fest mit den Ausgangen verdrahtet, wie die Ge-
grammiertes PAL wie das PROM nicht mehr samtstruktur des PAL in Bild 14-6 zeigt.
geloscht werden kann (die Sicherungselemente Die programmierbaren Elemente in Bild 14-6
sind dauerhaft zerstort), laBt sich das EPLD sind durch ein X fur den unprogrammierten
durch Bestrahlung mit ultraviolettem Licht und Zustand gekennzeichnet.
das EEPLD durch Anlegen einer Loschspan- Das PROM besitzt im Gegensatz zum PAL ein
nung wieder in den unprogrammierten Zustand fest verdrahtetes UND-Feld, welches die Funk-
zuruckfiihren (Abschn. 12.2.2, EPROM und tion der AdreBdekodierung erfiillt. Von hier aus
EEPROM). Diesen Vorteil nutzt man gerade greift man auf das programmierbare ODER-
beim Einsatz in der Entwicklung, da Anderun- Feld zu, das der Speichermatrix (einschheBlich
gen nicht zu falsch programmierten Bauteilen Leselogik) entspricht (Abschn. 12.2.3). Um jede
(Leichen) fuhren. Speicherzelle zu erreichen, muB die AdreBdeko-
Mit den PLD-Bauteilen lassen sich kleinere lo- dierung fur n Eingange 2" UND-Verknupfun-
gische Schaltungen verwirklichen. Sie sind als gen zur Verfugung stellen. Diese zwingende
528 14 ASIC

sowohl invertiert als auch nicht invertiert zur


Verfugung zu stellen. Dies geschieht durch ei-
nen Eingangstreiber, der neben dem eigentli-
chen Ausgang noch einen zweiten Ausgang fur
das invertierte Signal besitzt. Bei getakteten
Eingangen kann dieser Treiber auch als D-Flip-
Flop ausgefuhrt sein, das stets mit der positiven
Flanke den Daten-Zustand am D-Eingang
ubernimmt. Damit lassen sich zeitlich variie-
rende Eingangssignale auf das Taktsignal syn-
chronisieren. Bild 14-9 zeigt die beiden Ein-
gangsmoghchkeiten.
Durch die Ankopplung beider Ausgange an das
nachfolgende AND-Array stehen so das ne-
gierte und das nicht negierte Eingangssignal zur
weiteren Verkniipfung zur Verfugung. Dies ist
eine wichtige Voraussetzung zur Erfullung der
Booleschen Gleichungen (Abschn. 11.3), da in-
nerhalb des Verkniipfungsfeldes keine Signale
invertiert werden konnen. Das AND-Array
muB daher doppelt so viele Leitungen zur Ver-

01 02 03 04
Bild 14-6. Aufbau des PAL.

Notwendigkeit fallt bei den PAL-Bauteilen weg.


Zum Vergleich zeigt Bild 14-7 den Aufbau eines
einfachen PROM-Bauteiles.
Es liegt nun nahe, eine Kombination aus beiden
programmierbaren Strukturen zu entwickeln.
Dies wurde bei den sogenannten FPAL (Field
Programmable Array Logic) verwirklicht. Die
dadurch erreichte hochflexible Struktur zeigt
Bild 14-8.
FPAL sind nicht sehr verbreitet, da die meisten
Hersteller dieser programmierbaren Bauteile
gleich den Schritt zum GAL-Bauteil (Generic
Array Logic) vollzogen haben. Wesentlicher
Unterschied zu den FPAL sind die umfangrei-
chen Konfigurationsmoglichkeiten der Ausgangs-
schaltung (Abschn. 14.2.1.4).

14.2.1.1 Eingangsschaltung des PAL


01 02 03 04
Die Eingangsschaltung hat die Aufgabe, dem Bild 14-7. Programmierbares OR-Array beim
nachfolgenden AND-Array das Eingangssignal PROM.
14.2 Programmierbare logische Bauteile (PLD) 529

sowohl positiv als auch negativ verkniipfen zu


konnen, muB jedes verwendete UND-Gatter
genauso viele Eingange besitzen, wie Eingangs-
leitungen von der Eingangsschaltung zur Ver-
fiigung gestellt werden. Nachdem die Eingangs-
signale in negativer und positiver Logik vorlie-
gen, sind dies genau doppelt so viele Eingangs-
leitungen, wie Eingange vorhanden sind. Fur
ein Bauteil mit 8 Eingangen bedeutet dies, daB
jedes UND-Gatter der Verkniipfungsmatrix
16 Eingange besitzen muB. Um diese Vielzahl
der Eingangsleitungen zeichnerisch zu verein-
fachen, faBt man diese Linien zu Produkt-
Linien (engl: product terms) zusammen. Bild
14-10 zeigt die Vereinfachung durch die Pro-
dukt-Linien in der PAL-Schreibweise fur die
beiden Eingangssignale A und B, die auf ein
UND-Gatter mit vier Eingangen geschaltet
werden.
Der Begriff der Produkt-Linie ist aus der Boole-
schen Algebra abgeleitet, in der die Konjunk-
tion durch das Produkt-Zeichen * zwischen
den Eingangsvariablen gebildet wird (z. B.
P = A * B).
Funktionell wird obige Schaltungsanordnung
jedoch erst, wenn man in die Verkniipfungs-
RRRR matrix programmierbare Verkniipfungselemente,
01 02 03 04
sogenannte Sicherungen (engl.: Fuses), einfuhrt.
Diese bestimmen schlieBHch, welche Eingangs-
Bild 14-8. Field Programmable Array Logic.
signale an das UND-Gatter angelegt werden.
Im nicht programmierten Zustand sind alle
fugung stellen, wie Eingangssignale vorhanden Sicherungen intakt. Bild 14-11 zeigt an einem
sind. einfachen Beispiel, wie die Programmierung
einer Gleichung durch die Sicherungselemente
Eingangstreiber Eingangsregister
/ •
\ /\ EI E5

Taktl^h — 1 >
1
0 11

A|^h D • A
A^h^Tj::; > 1
0 (>
0 • A
r
'' 1
1 &
1
1
Bild 14-9. Eingangsschaltungen. 1
1
L -h/ ^ND
\42A2 Yerkniipfungen im AND-Array
Die von den Eingangspuffern zur Verfiigung ge-
stellten Eingangssignale werden in dem AND- Produkt-Linie r
in der 1 1 1 &
Array mit UND verkniipft. Das AND-Array PAL-Schreibweise .1> 1 1
1 1 1 1 1
-•J^,
bildet demnach die Konjunktion der Booleschen ^AND-Array
Verkniipfung nach. Um alle Eingangssignale Bild 14-10. Zusammenfassung zur Produktlinie.
530 14 ASIC

a) ausfiJhrliche Schaltungsschreibweise b) PAL-Schreibweise

A A B B A A B B

1 —•
1

[T »

"H r - 1 r1- ' > %u % 1*


^ 1 &
nicht i\ * 1
programmiertes 1 \ ^* 1
AND-Array .J

AND-Array

programmiertes
AND-Array

P= A * B
I H4+ J
i "r" ^ I
L. J / zerstorte Sicherungen
Siche-
rungsfeld

Bild 14-11. Programmierung der UND-Verknupfung.

in der Matrix erfolgt. Zur Verdeutlichung der Eine recht bald genutzte Moglichkeit war die
Verknupfung ist neben der PAL-Schreibweise Ruckfuhrung einzelner Verkniipfungsergebnisse
(rechts) nochmals der ausfuhrliche Signalver- in das AND-Array. Diese programmierbare
lauf aufgezeigt (links). Ruckfiihrungsleitung hat die gleiche Treiber-
schaltung wie die Eingange, so daB dieses
14.2.1.3 Verknupfungen im OR-Array Signal ebenfalls negiert und nicht negiert in der
AND-Matrix zur Verfugung steht. Damit lassen
Die Ausgange des AND-Arrays (die Produkt-
sich Zwischenergebnisse direkt in weiteren
Linien) faBt man in einer ODER-Matrix (OR-
Schaltungsteilen verwenden. Fiir jede dieser
Array) zusammen. Das OR-Array ist bei den
Riickkoppelleitungen sind im AND-Array zwei
PAL fest programmiert (Bild 14-8). Die im
AND-Array erzielten Konjunktionen lassen
sich nun mit Hilfe des OR-Arrays disjunktiv ver- A A B B
knupfen. Damit stehen alle Booleschen Verknup-
fungselemente (Konjunktion, Disjunktion und
Inverter) zur Verwirklichung einer Schaltung
zur Verfugung. Bild 14-12 verdeutlicht den
SignalfluB der Eingange A und B iiber das
AND- und OR-Array, und die Bildung des r" X X X X
Summensignals S aus den Produktsignalen PI
bis P4. X X X y

14.2.1.4 Ausgangsschaltungen X X ¥ y

Bei einfachen PAL-Bauteilen fiihrt man die X X y y


Ausgange der ODER-Verknupfungen direkt i^ 1
heraus. Doch immer mehr Bauteile weisen auch AND-Array S = PI + P2 + P3 + P4
hier konflgurierbare Elemente auf, die eine flexi- Bild 14-12. Zusammenfassung der Produktlinien im
ble Gestaltung der Ausgange zulassen. OR-Array.
14.2 Programmierbare logische Bauteile (PLD) 531

programmierbare Leitungen und somit auch Output-Enable


OE (Steuerung des Three-State-Buffers)
zwei Eingange an den UND-Gattern bereitzu-
stellen. Bild 14-13 zeigt die Riickfuhrung des
Signals S^ in das AND-Array durch die entspre- program-
'~\
chende Programmierung der Ausgangssiche- mierbare

rungen. ^1 Makro- -HSI


Zelle Ausgangs-
I Three- |
Pin des
I State- I
a) kombinatorische RiJckkopplung Bauteiles
Sicherung I Buffer I
I I
P —
Sn S,
I
Sn
Summe
HrrvHEIs, RiJckkopplung
I I
AND-Array
I I
I ! Takt-Leitung
Bild 14-14. Programmierbare Ausgangsschaltung.

Bei den Ausgangsschaltungen lassen sich drei


AND-Array
Arten unterscheiden: bidirektionaler Ausgang,
b) RiJckkopplung Liber Ausgangsregister
kombinatorischer Ausgang und registergesteuer-
Sicherung
ter Ausgang. Programmiert man den bidirek-
Sn S„ CLK tionalen Ausgang fest fiir eine Richtung, so
D Q kann man diese Makrozelle auch als Eingang
Q
I verwenden, da durch die Riickkoppelleitungen
I ein direkter Zugriff auf das AND-Array besteht.
I I
Die Anzahl der moghchen Eingange laBt sich so
mit Hilfe der Ausgangs-Makrozelle erhohen.
Allerdings stehen dann entsprechend weniger
Ausgange zur Verfiigung. Bild 14-15 gibt einen
AND-Array Uberblick iiber die Moglichkeiten.
Bild 14-13. Ruckfuhrung eines Ausgangssignals.
14.2.2 Realisierung einer Schaltung
Bleibt die Sicherung F dabei in Takt, so steht an Die Verwirklichung einer Schaltung mit Hilfe
diesem Ausgang (Pin) das Zwischenergebnis zur eines PAL erfolgt mit entsprechenden Entwick-
Verfiigung. Wird die Sicherung bei der Pro- lungswerkzeugen auf einem Computer. Sie setzen
grammierung zerstort, so kann auf dieses Signal die logische Verknupfung in eine Datei um, mit
nicht mehr zugegriffen werden, und der Pin der die PAL programmiert werden konnen,
bleibt ungenutzt. ahnlich wie die PROM. Fiir die Eingabe der
Die GAL-Bauteile (Generic Array Logic) wei- Schaltung stehen mehrere Moglichkeiten zur
sen die zur Zeit flexibelste Ausgangsstruktur Verfiigung:
auf. Ihre Ausgangsschaltung umfaBt zahlreiche
programmierbare Zustande und tragi deshalb - Eingabe der Booleschen Gleichungen,
auch die Bezeichnung Ausgangs-Makrozelle. - Eingabe der Zustandstabelle,
Darunter befinden sich neben der program- - grafische Eingabe des Stromlaufs,
mierbaren Riickkopplung auch ein Ausgangs- - Eingabe durch eine Wertetabelle.
register sowie ein Three-State-Buffer (Aus- Wahrend die Eingabe der Booleschen Glei-
gangstreiber, der drei Zustande einnehmen chungen direkt von den verschiedenen Pro-
kann: logisch ,,1", logisch „0" und „Z", hoch- grammen unterstiitzt wird, sind bei den anderen
ohmig). Daruber hinaus lassen sich zahlreiche Moglichkeiten stets Umsetzungen notwendig.
Datenpfade zur Konfigurierung der Ausgangs- Die Eingabe des Stromlaufplans setzt einen lei-
schaltung programmieren. Bild 14-14 zeigt den stungsfahigen Computer mit entsprechenden
komplexen Aufbau einer solchen programmier- CAD-Programmen (Computer Aided Design)
baren Ausgangsschaltung. voraus. Aus der Verkniipfungshste lassen sich
532 14 ASIC

I I ^ > I Ii l

<<

ttM z [Bt
<<
I I I
mm
<<
a I I 5 ^ I ll

r±. ±1
I I -:. ^
9 2
I
I
n
II
_T_5l!^ ! I! o >^
Q I! IM

<<

-A.
I s

_'?_5__a r in I ! A > ! Il ! ! r^ > ! M


O
-^
fee

' ' I << fee


<< I
<<

5 c
X3 OJ -

5<
14.2 Programmierbare logische Bauteile (PLD) 533

anschlieBend die Booleschen Gleichungen zur verschiedenen Programmiersprachen zu ach-


Programmierung des PLD-Bauteiles ableiten. ten, damit das nachfolgende Programm die
Die Vorgehensw^eise zur Entwicklung einer Gleichungen richtig verarbeiten kann. Die
Schaltung mit Hilfe eines PAL-Bauteiles ist im wichtigsten Verkniipfungs- und Zuweisungs-
wesentlichen dieselbe, wie bei der Entwicklung zeichen, die der PAL-Assembler der Firma
mit Standardbauelementen. Die gesamte Ent- MMI (Monohthic Memories Inc.) verwendet,
wicklung fuhrt man bis einschlieBlich der Pro- sind in der Tabelle 14-1 zusammengestellt.
grammierung des Bauteiles auf einem Rechner Nach der Eingabe der Gleichungen und des
durch. Bild 14-16 zeigt den Ablauf von der Idee gewiinschten Bauteiles erfolgt die Erstellung
bis zum programmierten PAL. der Programmier-Dateien durch das Pro-
gramm.
Eine Uberpriifung dieser Programmierung
kann zusatzlich durch eine Simulation erfol-
gen. Dazu werden in einer Datei der zeithche
Ablauf der Eingangssignale als Befehlsse-
quenz abgelegt. Beim Abarbeiten dieser Da-
tei liefert der Simulator die durch die Schal-
tung erzeugten Ausgangssignale.
Zur weiteren Uberpriifung hat der Planer die
Moglichkeit, sich eine ganze Reihe von Zwi-
schen-Dateien anzusehen. So beispielsweise
PAL-Beschreibung die Simulations-Historie, den JEDEC-File
PDS-Datei
(weltweit standardisierte Programmierdaten-
Format) und den Fuse-Pattern-File, in dem
A u f r u f des die Programmierzustande der Sicherungen
PAL-Programms abgelegt werden. Daraus laBt sich auch ein
Ausnutzungsgrad des PAL ableiten.
Erstellen der
Der letzte Schritt ist die tatsachhche Pro-
Programmierdateien grammierung des Bauteiles mit Hilfe eines
universellen Programmiergerates. Samtliche
Programmiergerate sind dabei in der Lage,
Simulation den standardisierten JEDEC-File zur Pro-

PA L-Programmierung
Tabelle 14-1. Boolesche Operatoren in der
PAL-Schreibweise.
Zeichen Beschreibung
Bild 14-16. PAL-Entwicklung.
/ Negierung
Die Schritte fur eine Schaltungsrealisierung
durch PAL seien im einzelnen nochmals ver- * UND-Verkniipfung (Konjunktion)
deutlicht:
+ ODER-Verknupfung (Disjunktion)
- Erstellen einer Spezifikation, die besagt, wel-
che Schaltung durch das PAL zu realisieren :+: Exclusive ODER-Verkniipfung
ist. Dies geschieht im allgemeinen durch eine (Antivalenz)
verbale Umschreibung sowie durch die Fest-
legung und Bezeichnung der Ein- und Aus- = kombinatorischer Ausgang
gangsvariablen und deren Zeitverhalten.
- Im nachsten Schritt leitet man aus obiger := Registerausgang
Spezifikation die Booleschen Gleichungen. *_ Latch-Ausgang
Dabei ist auf die spezielle Schreibweise der
534 14 ASIC

grammierung von Bauteilen (giiltig auch fiir Losung:


P R O M , E P R O M , PAL usw.) zu verarbeiten. Zur Herleitung der Booleschen Gleichungen wird
cine Zustandstabelle erstellt. Diese enthalt neben dem
momentanen Zustand auch den Zustand nach dem
Beispiel nachsten Zahhmpuls. Sie beschreibt also das Uber-
14.2-i: Mit Hilfe eines PAL soil ein synchroner Zah- gangsverhalten der Schaltung und wird deshalb auch
ler mit 4 Bit Breite aufgebaut werden, der in Abhan- oft als Ubergangstabelle bezeichnet. Tabelle 14-2 zeigt
gigkeit eines Steuersignals sowohl aufwarts als auch die Zustandstabelle fiir dieses Beispiel.
abwarts zahlen kann. Daruber hinaus soil er sich auf In Abhangigkeit des Steuersignals UP (aufwarts) er-
jeden beliebigen Anfangswert setzen lassen. folgt eine Erhohung oder Erniedrigung des momen-

Tabelle 14-2. Zustandstabelle fiir 4-Bit-Zahler.

momentaner Zustand nachster Zustand

UP Q3 Q2 Ql QO Q3 Q2 Ql QO Load Clear
0 0 0 0 0 0 0 1 0 0
0 0 0 1 0 0 1 0 0 0
0 0 1 0 0 0 1 1 0 0
0 0 1 1 0 1 0 0 0 0
G 0 1 0 0 0 1 0 1 0 0
r^
2 0 1 0 1 0 1 1 0 0 0
N 0 1 1 0 0 1 1 1 0 0
VH 0 1 1 1 1 0 0 0 0 0
5C^
1 0 0 0 ' "" 1 0 0 1 0 0
:3 1 0 0 1 1 0 1 0 0 0
1 0 1 0 1 0 1 1 0 0
1 0 1 1 1 1 0 0 0 0
1 1 0 0 1 1 0 1 0 0
1 1 0 1 1 1 1 0 0 0
1 1 1 0 1 1 1 1 0 0
1 1 1 1 0 0 0 0 0 0

0 0 0 0 0 1 1 1 1 1 0 0
0 1 1 1 1 1 1 1 0 0 0
0 1 1 1 0 1 1 0 1 0 0
0 1 1 0 1 1 1 0 0 0 0
0 1 1 0 0 1 0 1 1 0 0
c 0 1 0 1 1 1 0 1 0 0 0
0 1 0 1 0 1 0 0 1 0 0
N 0 1 0 0 1 1 0 0 0 0 0
V3
0 1 0 0 0 ' "" 0 1 1 1 0 0
0 0 1 1 1 0 1 1 0 0 0
c<3 0 0 1 1 0 0 1 0 1 0 0
0 0 1 0 1 0 1 0 0 0 0
0 0 1 0 0 0 0 1 1 0 0
0 0 0 1 1 0 0 1 0 0 0
0 0 0 1 0 0 0 0 1 0 0
0 0 0 0 1 0 0 0 0 0 0

lad(m X X X X X D3 D2 Dl DO 1 0
zuruck- X X X X X 0 0 0 0 X 1
setzen
X Zustand egal, D3 bis DO Eingangsdaten werden iibernommen
14.2 Programmierbare logische Bauteile (PLD) 535

tanen Zahlwertes um 1. Wahrend des Zahlvorgangs Das Zuweisungszeichen : = zeigt dabei an, daB es sich
sind die beiden Steuersignale Load (laden) und Clear um einen Registerausgang handelt, der erst nach dem
(loschen) inaktiv, also „0". Soil ein neuer Zahlwert ndchsten Takt den kombinatorischen Zustand der
von den Eingangsleitungen D 0 bis D 3 iibernommen rechten Gleichungsseite iibernimmt (Tabelle 14-1).
werden, so erfolgt dies durch das Aktivieren von Als nachstes erfolgt die Festlegung des Bauteiltyps
Load. Der urspriingliche Zustand des Zahlers kann und die Pinbelegung der Anschlusse. Da es sich um
dabei jeder Wert gewesen sein, was in der Tabelle einen 4-Bit-Zahler handelt, wird auch ein Bauteil mit
durch ein X gekennzeichnet ist (engl.: x = don't care). 4 Ausgangsregistern bendtigt, wie es beim PAL
Dieselben Voraussetzungen gelten fur das Loschen 16RP4 der Fall ist. Einige Anschliisse des Bauteiles
des Zahlers durch Aktivieren des Loschsignals Clear. liegen bereits fest, wie beispielsweise VCC (-I- 5 V An-
Mit Hilfe dieser Tabelle lassen sich die Booleschen schluB) am Pin 20 und G n D (0 V) am Pin 10. Auch
Gleichungen ableiten. Durch Reduktion der Oder- der Takteingang am Pin 1 ist bereits vorgeschrieben.
Normalform der Ausgangssignale, die aus 16 VoU- Fiir dieses Beispiel erfolgt die ubrige Festlegung der
konjunktionen besteht (Abschn. 11.3), erhalt man Anschlusse nach Bild 14-17.
schlieBlich die Gleichungen (14-1) bis (14-4):

Pin Signal Signal Pin


Q3 : = Q 3 H=
Q2*Q1J^QOH = U P »= LOAD N^ C L R
1 CLK VCC 20
+ Q3'«'Q2*Q1 <=QOH' U P I'LOAD^ ^CLR
2 UP & - 19
+ Q 3 H= Q2* UP <=LOAD^^CLR
3 DO
& - 18
+ Q3H^Q2* QO^ L O A D 'N C L R 4 17
D1
& QO
+ Q3H Ql U P *LOAD> N C L R 5 D2 Ql 16
+ Q3H Ql i'QO'i L O A D 'N C L R 6 D3
& Q2 15

+ 7 CLR Q3 14
L O A D ' NCLR'i=D3 &
(14-1)
8 LOAD
& - 13
9 - OP - 12

1 10 GnD - 11

PAL-Typ: 16RP4
Q2- Q2 * Q l * QO * U P * LOAD He CLR
+ Q2*Q1*QO*UP*LOAD*CLR Bild 14-17. Pinbelegung fiir 4-Bit-Zdhler.
+ Q2*Q1*Q0* LOAD*CLR
+ Q2 '•' Q l * U P * LOAD * CLR
Mit diesen Informationen (Boolesche Gleichungen,
+ Q2 * QO * U P * LOAD * CLR Bauteiltyp und Pinbelegung) kann man die Datei zur
+ L O A D * CLR *D2 Beschreibung des PAL anlegen. Sie tragt iiblicher-
weise neben dem Entwicklungsnamen die Endung
(14-2)
PDS, was fiir PLD-Design steht. In dieser Beschrei-
bungsdatei sind auch die Anweisungen fiir die nach-
folgende Simulation beschrieben. Bild 14-18 zeigt die
Bedeutung der einzelnen Blocke an Hand dieses Bei-
Ql Q1*QO*UP*LOAD*CLR spiels.
+ Q l * QO * U P * LOAD * CLR Die Eingabe der PDS-Datei erfolgt entweder durch
+ Q l * QO * U P * LOAD * CLR einen eingebauten Editor des PAL-Programms oder
durch ein Textverarbeitungsprogramm. Die Ein-
+ Q l * QO * U P * LOAD * CLR
gangsdatei wird beim Aufruf durch das PAL-Pro-
+ L O A D * CLR *D1 gramm zuerst gepriift. Dabei achtet man auf die Ein-
(14-3) haltung der PAL-Schreibweise der Booleschen Ope-
ratoren und priift die ReaHsierbarkeit durch das ange-
gebene Bauteil. Die Erstellung der Simulationsergeb-
nisse, der Fuse-Map (Ubersicht iiber den Program-
mierzustand der einzelnen Sicherungselemente) und
Q0: = QO* L O A D * CLR des zur Programmierung benotigten JEDEC-Files
+ L O A D * C L R * DO (Programmierdatei) erfolgt im AnschluB. Bild 14-19
(14-4) zeigt den Entwicklungsablauf dieses Beispiels bis zum
programmierten PAL.
536 14 ASIC

Title 4-Bit-Zahler PALASM XPLOT, V2.23A - MARKET RELEASE (6-1-88)


Pattern UO 4-Bit (C) - COPYRIGHT A0VW«:ED MICRO DEVICES INC.. 1988
Revision A
Author :J. Sutekunst Autwr J. Gutekunst Title : 4-Bit-Zahler Author : J. Gutekunst
Conpan/ :AH Coapany AH Pattern : UD 4-Bit Company : AH
Date :23/8/90 Date 23/8/90 Revision : A Date : 23/8/90

nonolithic Heanries PAL16RP4 CHIP Beispiel PAL1&RP4

:Pins: 1 2 3 4 5 6 7 8 9 10
CLK UP 00 Dl 02 D3 CLI LOAD NC GnO 1
20 ** VCC ; 11 12 13 14 15 16 17 18 19 20 1
/OC NC NC go 01 02 03 NC NC VCC
19 ** NC 0 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
EQUATIONS 1 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
18 XX NC 2 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
;x Aufstellung der Boole'schen Gleichungen fur das PAL x 1 3 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
17 XX QO 4 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
03 := /03x/02x/Qlx/OOX/UPx/LOADx/aj iUenn CLR=1, wird 03 stets zu 0.| 5 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
16 XX Ql + /03X 02X Olx OOK UPX/LOADX/CLR Andernfalls zShlt er aufudrts 1 6 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
+ 03X 02X /UPX/LGAOX/CLR Oder abMdrts in AbhSngigkeit 1 7 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
15 XX Q2 + 03X/02X OOX /LOAD»/aR des Signals UP. 1
+ 03X /Olx UPX/LOADX/CLR 8 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
U XX Q3 + 03X Olx/OOx AJOH)*/aM Uenn a R = 0 und L0AD=1, wird der 9 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
+ LOAOX/CLRX 03 Eingangszustand D3 a* Ausgang 1 10 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
13 XX NC ubernomiien. 1 11 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
12 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
12 XX NC 02 := /Q2x/0lx/00x/UPx/L0ADx/CLfi s. 03 j 13 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
+ /Q2X Olx OOX UPx/LOADx/CLR 14 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
11 XX OC + 02X QIX/QOX /LOADX/CLR 15 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
+ 02X/01X UPx/LOADx/aR
+ 02X OOX/UPX/LOADX/CLR 16 X
+ LOAOX/CLRX D2

01 := /OlX/OOX/UPX/LOADX/CLfi s. 03
+ /Olx OOX UPX/LOADX/CLR
+ OlX/OOX UPX/LOAOX/CLR
+
+
Olx OOX/UPX/LOADX/CLR
LOAOX/CLRX 01
; 1 23 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX

00 ::= /OOX /LOADX/CLR - X - - X - -X-X - X ~


+ LOADx/CLRx DO

29 X X— X
SIMULATION 30 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
31 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
; X DurchfQhrung der Siwlation x
32 -X- -XX-
TRACE ON DO Dl 02 03 LOV) CLR UP 00 01 02 03 1 33 X-
34 X X -XX- -X
SETF LOAD /CLR DO Dl 02 03 OC Alle Eingange auf M " setzen 1 35 -X X -X-X -X
CLOCKF O-K durch CLK-Puls laden 36 X- X- X
37 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
SETF CU? Alle Register I6schen 1 38 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
CLOCKF a K 39 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX

SETF /CLR UP /LOAD Initialisierung fur aufHSrts I 40 X-X -X


zahlen (UP = I) 4, -__- X X- X
FOR l u 1 TO 16 DO 16 Taktzyklen hochzShlen 1 42 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
BEGIN 43 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
aOCKF CLK 44 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
END 45 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
46 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
IsETF LOAD /CLR /UP DO 01 02 03 alle Register lit '1' laden 47 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
1CLOCKF und abwcirts zShlen
ISETF /LOAD (UP = 0) 48 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
FOR l:= 1 TO 16 DO 16 Taktzyklen abwarts zahlen 49 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
BEGIN 50 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
1 CLOCKF CLK 51 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
1 OC 52 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
53 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
IsETF LOAD CLR 00 /Dl 02 /03 PrQfen der Load und Clear 54 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
CLOCKF CLK Funktionen 55 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX

JSETF /OC 56 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
57 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
TRACE OFF beenden der Simulation 58 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
59 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
60 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
61 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
62 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX
63 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX

OUTPUT PINS: Ullllll


23456789
POLARITY FUSE: XX XX

TOTAL FUSES BLOWN: 548

Festlegung der Pins Ersteiiung der PDS-Datei Fuse-Map

Bild 14-19. Entwicklung des 4-Bit-Zdhlers.


14.2 Programmierbare logische Bauteile (PLD) 537

PALASM SIMULATION, V2.23A - MARKET RELEASE (6-1-88)


(C) - COPYRIGHT ADVANCED MICRO DEVICES INC., 1988
PALASM SIMULATION SELECTIVE TRACE LISTING

Title : 4-Bit- Author : J. Gutekunst


Pattern : UO 4-t Company : AH
Revision : A Date : 23/8/90

PALASM XPLOT, V2.23A - HW«<ET RaEASE (6-1-68)


(C) - COPYRIGHT ADVANCED MICRO DEVICES INC., 1988

Title : 4-Bit-Zahler Author :


Pattern : UD 4-Bit COBpany :
Dl Revision : A Date :
02

LOAD HHHHHHHHLL LLLLLLLLLL LLLLLLLLLL LLLLLLLLLL PAL16RP4


CLR LLLLHHHHLL LLLLLLLLLL LLLLLLLLLL LLLLLLLLLL BE ISP I ELK
UP XXXXXXXXW HHHHHHHHHH HHHHHHHHHH HHHHHHHHHH 0P20i(
00 XXHHHHLLLL HHHLLLHHHL LLHHHLLLHH HLLLHHHLLL CIF205A»
01 XXlfttM-LLL LLLIMWIHL LLLLLHHHHH HLLLLLLHm GOXFO*
02 XXHHHHLLLL LLLLLLLLLH HHtWHHHHH HLLLLLLLLL LOOOO OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOK
03 XXHHHHLLLL LLLLLLLLLL LLLLLLLLLL LHHHHHHHHH L0032 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOK
PAL16RP4 L0064 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOX
BEISPIEL L0096 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOK
Page : 2 L0128 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOX
c c c c c c g c g c c c c c c LOIAO OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOX
L0192 00000000000000000000000000000000*
L0224 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOK
L025i OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOK
L0288 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOX
LLLU L0320 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOX
L0352 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOX
I HHHHHHHLLL LLLLLLLLLL LLLLLLLLLL L0384 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOx
QO HHHLLLHHHL LLHHHLLLLH HHHLLLHHHL LLHHHLLLHH L0416 OOOOOOOOOOOOtMOOOOOOOOOOOOOOOOOOK
Ql HHHLLLLLLH HWMILLLLH HHHHHHLLLL LLHHIIHHHLL L0448 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOX
Q2 LLLHHHHHHH HHHHULLLH HHHHHHHHHH HHLLLLLLLL L0480 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOX
03 HHHH^»^HHHH HHHHHLLLLH HHmHHHHHH HHHHHHHHHH L0512 limillllOlilllllOllOlOlOllUlDi
L0544 O1111111110nillU101O1110nilll)(
BEISPIEL L0576 111111111101IIIOUIIIOOIIOUUIU
Page : 3 L0608 lOllllllllOlllOniUlOlllOlllUlX
L064O OllinillllOllOlllOllOOllOllllll*
HHHHHHHHHH HHHHH L0&72 tOlllllllllOlllOlllOlOtOlOllllll*
Dl L0704 i n i i i i i i i u n n o u i i o i i o u i i i i i x
D2 L073A OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOX
D3 HHHHHWHHH HHHHHHHHHH HHHHHHHHHH LLLLL L0768 l o i n i i i u i i i i o i i i n i o o i i o n i i u x
LOAD LLLLLLLLLL LLLLLLLLLL LLLLLLLLLL HHHHH L0800 O i n i l l l l l l l l l O l l l l O l O i l i O I l l l U K
CLR LLLLLLLLLL LLLLLLLLLL LLLLLLLLLL HHHHH L0832 l l l l l l l l l l l l l l O l l l O l l O l O l O l l l l l l M
UP LLLLLLLLLL LLLLLLLLLL LLLLLLLLLL LLLLL L0864 O l l l l l l l l l l U l l O l l O n O O l l O l l l l l U
00 HllLHHHLLL HHHLLLHHHL LLHHHLLLHH HHLLZ L0896 l o i i i i i n n i m o i n o i o i o i o i i i i i i x
01 LLLLHHHHHH LLLLLLHHHH HHLLLLLLHH HHLLZ L0928 l l l l l l l l l U l O l l l l l l U O l l O i m i l l X
Q2 LLLLHHHHHH HWHHHHLLLL LLLLLLLLHH HHLLZ L0960 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOx
03 HHHHLLLLLL LLLLLLLLLL LLLLLLLLHH HHLLZ L0992 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOK
L1024 l O l l l l l l l l l l l l l l U O l l O O U O n U l l x
L105& o i i i i i n i i n i m n o n o i o i o i i i i i i x
L1088 o i i i i i i i i i i m m n o i o o i i o i i n i i x
L1120 l O H u n i i i u i i i i n o i o i o i o i i i i i i x
Lii52 n i i i i i i o i i i i i i i i i i n o n o i n i u i x
LI 184 00000000000000000000000000000000*

w:mmm>^^^Mmimw-'''^^^' L1216 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOX


LI248 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOO*
Li2eo i i i i i i n i i i i i i i i n n i o i o i o u n i i K
L1312 m i o u i i i i i n i i i i i i i o i i o i i i i i i i x
LI344 00000000000000000000000000000000*
LI376 00000000000000000000000000000000*
L1408 00000000000000000000000000000000*
L1440 00000000000000000000000000000000*
LI472 00000000000000000000000000000000*
LI504 00000000000000000000000000000000*
L153& 00000000000000000000000000000000*
L1568 00000000000000000000000000000000*
L1600 00000000000000000000000000000000*
LI632 00000000000000000000000000000000*
LI664 OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOO*
LI696 00000000000000000000000000000000*
LI728 00000000000000000000000000000000*
LI760 00000000000000000000000000000000*
L1792 00000000000000000000000000000000*
L1824 00000000000000000000000000000000*
L1856 00000000000000000000000000000000*
1 1 1 1 1
L1888 00000000000000000000000000000000*
1 11
DO L1920 00000000000000000000000000000000*
Dl 1 1 - I j- L1952 00000000000000000000000000000000*
D2 LI984 00000000000000000000000000000000*
D3
1 1
L2016 00000000000000000000000000000000*
|1: L2048 00111100*
C446CX
1
00 :- .-jfa
L|-
-I --T
•EF17

Q2 1
4:
Q3
4 y—11
1, i i T 1 t"rITI I 1 1
Wrm^L
1 , 11 •
,, 1, 1 11 i"

cQjxq T i l TllTT rrnT r riTf


1

1 1 \\-
1
1
1
i=tt
1
QT-J; =(j~^ —L
_-r]
ZZi 1 '-

Simulation JEDEC-File PAL


538 14 ASIC

Title 4-Bit-Zahler
Pattern UD 4-Bit Titel und Bearbeiter
Revision A
Author J. Gutekunst
Company AH
Date 23/8/90
Auswahl des Bauteiles Q.
CHIP Beispiel PAL16RP4 O
;Pins: 1 2 3 4 5 6 7 8 9
CLK OP DO D l D2 D3 CLR LOAD NC Definition der
11 12 13 14 15 16 17 18 19 20 Pinbelegung
/OC NC NC QO Q2 Q3 NC NC VCC
Ql
EQUATIONS

;* Aufstellung der Boole'schen Gleichungen fiir das PAL *


Boolesche Gleichungen
Wenn CLR=1, wird Q3 stets zu 0. fur die Funktion
Q3 := /Q3*/Q2*/Q1*/QO*/UP*/LOAD*/CLR
+ /Q3* Q2* Ql* QO* aP*/LOAD*/CLR Andernfalls zahlt er aufwarts
+ Q3* Q2* /OP*/LOAD*/CLR Oder abwarts in Abhangigkeit
+ Q3*/Q2* QO* /LOAD*/CLR des Signals UP. Kommentare sind
+ Q3* /Ql* aP*/LOAD*/CLR durch ein ; getrennt
+ Q3* Q1*/Q0* /LOAD*/CLR Wenn CLR=0 und LOAD=l, wird der
+ LOAD*/CLR* Eingangszustand D3 am Ausgang
ubernommen.
C
Q2 /Q2*/Ql*/Q0*/UP*/LOAD*/CLR s. Q3 0
/Q2* Ql* QO* UP*/LOAD*/CLR C
Q2* Q1*/Q0* /LOAD*/CLR
Q2*/Q1* DP*/LOAD*/CLR
Q2* Q0*/OP*/LOAD*/CLR
LOAD*/CLR*
o
Ql /Q1*/QO*/UP*/LOAD*/CLR s. Q3
/Ql* QO* aP*/LOAD*/CLR
Q1*/Q0* UP*/LOAD*/CLR
Ql* Q0*/OP*/LOAD*/CLR
LOAD*/CLR* Dl

QO /QO* /LOAD*/CLR
LOAD*/CLR*

SIMULATION
; * Durchfuhrung der Simulation *
TRACE ON DO Dl D2 D3 LOAD CLR UP QO Ql Q2 Q3
SETP LOAD /CLR DO Dl D2 D3 OC Alle Eingange auf "1" setzen
CLOCKP CLK durch CLK-Puls laden
SETF CLR Alle Register loschen Simulations-
CLOCK? CLK gleichungen
durch Kommentare
SETP /CLR UP /LOAD Initialisierung fiir aufwarts dokumentiert
zahlen (UP = 1)
FOR l:= 1 TO 16 DO 16 Taktzyklen hochzahlen
BEGIN
CLOCKF CLK
END
SETP LOAD /CLR /UP DO Dl D2 D3 alle Register mit "1" laden
CLOCKF und abwarts zahlen
SETF /LOAD (UP = 0)
FOR l:= 1 TO 16 DO 16 Taktzyklen abwarts zahlen
BEGIN
CLOCKF CLK
END

SETF LOAD CLR DO /Dl D2 /D3 Priifen der Load-und Clear^


CLOCKF CLK Funktionen

SETF /OC
TRACE OFF beenden der Simulation

Bild 14-18. PDS-Beschreibungsdatel


14.2 Programmierbare logische Bauteile (PLD) 539

14.2.3 Testen von PLD-Bauteilen so auf verunreinigte Masken oder einen fehler-
haften HerstellungsprozeB hinweisen. Ublicher-
Der Test programmierbarer Bauteile wird ge- weise wird daraufhin das gesamte Los nicht ver-
rade durch die Vielzahl der moglichen Konfigu- wendet.
rationen notwendig. Er ist ungleich schwieriger
durchzufiihren als der Test von Standard TTL- Der Test eines programmierbaren Bauteiles er-
oder CMOS-Bauteilen. Letztere kann man vor folgt beim Planer mit Hilfe von Testvektoren.
allem bereits beim Hersteller vollstandig testen, Sie fiihren eine rein funktionelle Priifung der
wahrend die Funktion der Sicherungselemente Schaltung durch und werden von den Schal-
allenfalls exemplarisch, nicht aber in den vom tungs-Daten abgeleitet. Testvektoren sind Bit-
Kunden zu programmierenden Feldern iiber- muster, die an eine Schaltung angelegt werden
priift werden kann. Eine Ausnahme bilden die und auf die eine bestimmte Reaktion des Aus-
loschbaren PLD-Bauteile, die der Hersteller gangs erwartet wird. Sie bestehen somit aus
ebenfalls vollstandig testen und anschlieBend Eingangsdaten und Ausgangsdaten. Decken sich
wieder in den unprogrammierten Zustand zu- die Ausgangsdaten nicht mit dem Bitmuster,
riicksetzen kann. das am Ausgang des Bauteiles anliegt, so ist
durch diesen Testvektor ein Fehler erkannt
Erfahrungswerte zeigen, daB ungetestete Logik- worden. In der Kegel sind dieses Fehler, bei
bauteile eine Ausfallquote von ca. 1% haben, denen ein Signal einen festen logischen Zustand
und somit auch die Ausfallwahrscheinlichkeit einnimmt und sich nicht bewegen laBt. Diese
der Schaltung, in der sie eingesetzt sind, um 1 % Fehler bezeichnet man als Stack-At-Fehler. Da
erhohen. Mit der Anzahl der ungetesteten Bau- zwei Zustande eingenommen werden konnen,
teile pro Leiterplatte erhoht sich entsprechend spricht man von einem Stack-At-0 (SAO) oder
die Ausfallwahrscheinlichkeit. Fur sehr kom- einem Stack-At-1 (SAl)-¥Qh\QV. Fiir ein ein-
plexe Leiterplatten mit 20 und mehr ungeteste- faches UND-Gatter mit zwei Eingangen gibt es
ten Bauelementen wurde bereits jede 5. Leiter- 4 SA-Fehlermoglichkeiten am Eingang und 2
platte einen Defekt aufweisen. Dieser Zusam- am Ausgang. Zur vollstandigen Erfassung sind
menhang ist in Bild 14-20 dargestellt. 2^, also 64 Testvektoren, notwendig.
Den Test einmalig programmierbarer Bauteile Abhangig von der Anzahl der Ein- und Aus-
fuhrt der Hersteller statistisch durch. Dazu pro- gange berechnet sich die Anzahl der notwendi-
grammiert er von einem Los einige Musterwafer gen Testvektoren Njy nach
in einer bestimmten Reihenfolge mit einem vor-
gegebenen Testmuster. Die Auswertung fehlge-
NTV = 2^^ (iVn + iVA) (14-5)
schlagener Programmierungen gibt schlieBlich
AufschluB, ob dies innerhalb der statistischen
Streuung liegt, oder ob es sich dabei um meh- mit
rere untypische AusreiBer handelt. Eine Lokali-
sierung der defekten Verbindungszonen kann iVjy Anzahl der Testvektoren
Anzahl der Eingange
Anzahl der Ausgange.
Die Zahl 2 im Exponenten beschreibt die Mog-
lichkeit, beide Fehlerzustande SAO und SAl
einzunehmen. Bereits bei 5 Ein- und Ausgangen
sind mehr als 1000 Testvektoren notwendig.
Dies zeigt, daB eine Unterstiitzung zur Erzeu-
gung der Testmuster durch den Rechner uner-
laBlich ist. Dieser fiihrt auch eine Optimierung
bei der Interpretation der Prufungsergebnisse
durch, so daB bei sehr groBen Schaltungen eine
1 10 20 deutliche Verringerung der notwendigen An-
Anzahl der Bauelemente mit 1%-Ausfallwahrscheinlichkeit zahl von Testvektoren mogUch ist. Damit bleibt
Bild 14-20. Ausfallwahrscheinlichkeit in Abhdngig- der Testaufwand auch bei nahezu 100%iger
keit nicht getesteter Bauelemente. Testabdeckung in einem ertraglichen Rahmen.
540 14 ASIC

Die Testvektoren werden der zur Programmie- (Kanal-J Gate-Array


rung notwendigen JEDEC-Datei angehangt. Im allgemeinen Sprachgebrauch verbirgt sich
Ein Test laBt sich deshalb unmittelbar im An- hinter diesem Begriff eine vorgefertigte SiH-
schluB an die Programmierung durchfuhren. cium-Struktur, die erst durch Verdrahtung in
bestimmten Verbindungskanalen ihre eigent-
Hche Funktion erhalt.
14.3 Digitale Gate-Arrays
See von Gattern (Sea of Gates)
Den groBten Entwicklungsfortschritt auf dem
Gebiet der kundenspezifischen Schaltungen Dieses Gate-Array bezeichnet man auch oft
haben Ende der achtziger Jahre die digitalen als kanalloses Gate-Array (engl.: Channelless
Gate-Arrays erfahren. Die Verbesserung der al- Gate-Array), da hier die Verdrahtung der ein-
ten und die Entwicklung neuer Strukturen ha- zelnen Gatter iiber die Zellen hinweg und nicht
ben den Einsatz von Gate-Arrays auch fur in speziellen Freiraumen erfolgt.
kleine und mittlere Unternehmen erschwinglich
Programmierbare Gate-Arrays
gemacht und so zu einer breiten Akzeptanz in
der Industrie gefuhrt. Bei sinkenden Kosten Sie bieten den schnellsten Weg, um komplexe
nahm die Komplexitat der ASIC rapide zu. Dies kundenspezifische Losungen auf einem Chip zu
ist vor allem auf die immer feiner werdenden reaHsieren und werden vom Kunden selbst ent-
Strukturen auf den Wafern zuruckzufuhren, die wickelt und programmiert. Bei einer Komplexi-
heute Kanalbreiten von 0,5 jum erreicht haben. tat bis zu 20 000 Gattern sind sowohl loschbare
In gleichem MaB gingen auch die damit erziel- Bauteile (LCA, Logic Cell Array), als auch ein-
ten Gatterschaltzeiten zuruck. Eine Ubersicht mahg programmierbare Bauteile (FPGA, Field
uber den Entwicklungstrend der Gate-Arrays Programmable Gate-Array) verfugbar.
gibt Bild 14-21.
14.3.1 Kanal-Gate-Array
Trend:
Gate-Arrays mit Verdrahtungskanalen zahlen
heute zu den am meisten verbreiteten kunden-
spezifischen Schaltungen. Der Grund fur ihren
weiten Einsatzbereich liegt vor allem darin, daB
sie in ihrer Komplexitat von nur wenigen Gat-
tern bis zu 100 000 Gattern und mehr verfugbar
sind. Damit steht fur nahezu jeden Anwen-
dungsfall ein geeignetes Grundbauteil zur Ver-
fugung. Ein Gatter (richtiger: Gatter-Aquiva-
^r 1 5 10 50 100 200-10^
lent) steht dabei fur die Verwirklichung der
Anzahl der verfiigbaren Gatter pro Chip Booleschen NAND- (NICHT-UND) bzw.
Bild 14-21. Trend der Gate-Array-Entwicklung. NOR- (NICHT-ODER)-Funktion in einer
Schaltung. Aus herstellungstechnischen Griin-
Auch die zur Entwicklung notwendigen Hilfs- den faBt man oft mehrere Gatterfunktionen in
mittel erfuhren in dieser Zeit eine griindliche einer Primar-Zelle zusammen. Motorola kann
Anderung. Konnte man friiher nur mit GroB- beispielsweise durch ihre Primar-Zelle der
rechnern Gate-Arrays entwickeln und simulie- HCA62A00-Serie 3 Gatter-Aquivalente bilden.
ren, so bieten heute bereits die meisten Herstel- Den Aufbau (Layout) dieser Zelle sowie die 16
ler Entwicklungswerkzeuge auf PC-Basis und darin enthaltenen CMOS-Transistoren zeigt
umfangreiche Unterstiitzung an. Der letzte Bild 14-22.
Schritt, die Erstellung der Masken und das Die Erstellung der Verbindungen in den vorge-
Aufbringen der Metallisierung bleibt jedoch fertigten Verdrahtungskanalen erfolgt in einem
den einzelnen Chip-Herstellern vorbehalten. Oder mehreren Arbeitsprozessen. Dabei werden
Bei den digitalen Gate-Arrays haben sich vor auf dem Chip bis zu 3 Metallisierungsebenen
allem folgende drei grundsatzliche Strukturen aufgebracht, die der kundenspezifischen Ver-
durchgesetzt: drahtung entsprechen. Der Gesamtaufbau eines
14.3 Digitale Gate-Arrays 541

Makrosymbole, ermoglichen die Entwicklung


einer Schaltung mit den bekannten TTL-
(1 m Schaltzeichen. Damit entfallt die Notwendig-
11 g| keit komplexer Gate-Arrays (VLSI, Very Large
n m Kl Scale Integration) auf Transistor- oder Gatter-
ebene zu entwickeln. Dariiber hinaus kann man
m m mit Makrosymbolen weitere Funktionsblocke
n definieren. Sie werden als Soft-Makros bezeich-
m d m net. Dazu gehort beispielsweise ein 16-Bit-Zah-
m M ler, der aus vier 4-Bit-Zahler Makros zusam-
[0 il Ml mengesetzt ist und als Soft-Makro in einer he-
p-Channels VIAS n-Channels Poly Silicon nut zerspezifischen Bibliothek abgelegt wurde.
Gates

IS] isi lEi

f / /// / n/n/v/frz

UJffr

Primar-Zellen
Ein-ZAusgangs-Treiber

Bild 14-23. Aufbau eines Gate-Array mit Verdrah-


Bild 14-22. Primdr-Zelle von Motorola fur 3 Gatter- tungskandlen.
Aquivalente.

spaltenweise orientierten Gate-Arrays ist in 14.3.2 Kanallose Gate-Arrays


Bild 14-23 wiedergegeben. (Sea of Gates)
Bild 14-23 zeigt ebenfalls die beiden Span- Der Drang nach immer hoherer Integrations-
nungsversorgungssignale VCC (+ 5 V) und dichte fiihrte schheBHch zur Entwicklung ka-
GND (OV) zur Speisung der einzelnen Gate- nalloser Gate-Arrays, auch Sea of Gates ge-
Array-Zellen. Sie sind im obigen Beispiel ge- nannt. Die Architektur solcher Bauteile verfugt
trennt fur die Ausgangstreiber und fur den digi- dabei iiber einen Kern, der vollstandig mit akti-
talen Verkniipfungskern ausgefiihrt. Der Grund ven Transistoren angefullt ist, eben ein Meer
dafur liegt in einer besseren Entkopplung von von Gattern (engl.: Sea of Gates). Um den Kern
Verkniipfungslogik (Primar-Zellen) und Aus- herum befmden sich konfigurierbare Ein-jAus-
gangstreiber. Strom- und Spannungssprunge der gangszellen sowie die Spannungszufiihrungen,
Ausgangstreiber haben somit keinen EinfluB wie Bild 14-24 veranschauHcht.
auf die Logik-Zellen des Chip-Kerns. Das 0 V- Bei den kanallosen Gate-Arrays erfolgt die Ver-
Potential (Masse) ist zudem noch als weitere drahtung in 2 oder 3 Metallisierungsebenen
Abschirmung um den gesamten Chip gefuhrt. iiber unbenutzte Transistorzellen hinweg. Dies
Die Herausfuhrung der Versorgungsspannung erlaubt eine sehr flexible Fiihrung der einzelnen
erfolgt uber mehrere Pins. Dies konnen bei Verbindungen und eine Optimierung der Ver-
komplexen Bauteilen 30 Pins oder mehr sein. drahtung zeitkritischer Signale. Transistorzel-
Vordefinierte Funktionsblocke, sogenannte len, iiber die eine Verbindung gefiihrt wird, las-
542 14 ASIC

GND fijr Ausgangstreiber Jede dieser Basiszellen besitzt 10 horizontal


Verdrahtungskanale auf der ersten Verdrah-
^ ^ ISI ISl lEI tungsebene und 9 auf der zweiten. Von beiden
Ebenen aus kann man die Basiszelle mit der
MetaHisierung mit Hilfe von Durchkontaktie-
rungen (engl.: Vias) verbinden.
Die Schaltungsentwicklung fur ein Gate-Array
erfolgt durch umfangreiche Rechnerunterstiit-
zung. Dabei beriicksichtigt man die physikaH-
schen Eigenschaften und die spezifische Anord-
nung der Basiszellen. Der Planer selbst greift
auf die vorgefertigten Makros zuriick, die als
einfachste Funktion beispielsweise die NAND-
S
und ATOi^-Funktionen beschreiben. Die Ma-
kros reichen dabei von diesen einfachen Boole-
S
schen Operatoren bis zu komplexen Zahlern.
mm Auch fiir die Ausgangstreiber sind eine Reihe
von Konfigurationsmoglichkeiten vorgesehen,
flexible Ein-Musgangs-Treiber ^VCC ^"^ Verkniipfungsfeld
die als Makros verfugbar sind. So konnen bei-
Bild 14-24. Aufbau eines Sea of Gates-Bauteiles. spielsweise Leistungstreiber mit 16 mA oder
Three-State-Ausgdnge fur den direkten An-
schluB an einen Prozessorbus genauso reaHsiert
sen sich allerdings nicht mehr als Schaltungs- werden, wie bidirektionale, also umschaltbare
elemente verwenden. Eingange.
Aus diesem Grand erreichen die kanallosen Sea of Gates-Bauteile setzt man in der Kegel bei
Gate-Arrays keine 100%ige Ausnutzung, son- sehr groBen Schaltungs-Designs ein, die 50 000
dern es sind nur maximal 60% der aktiven Ele- und mehr Gatter-Aquivalente benotigen. Die
mente nutzbar. Wie hoch der Nutzungsgrad Anzahl der notwendigen Ein- bzw. Ausgange
wirklich ist, hangt von unterschiedlichen Ein- und die damit verbundene Anzahl von Ge-
fliissen ab, beispielsweise von der Anzahl der hauseanschlussen (Pins) ist damit auch entspre-
moglichen Metallisierungsebenen. Die Brutto- chend hoch. Nur spezielle Gehause, wie bei-
Gatterzahl bei den Sea of Gates-Bauteilen gibt spielsweise das Pin Grid Array (^PGy4y)-Gehause,
daher nur bedingt AufschluB iiber die Verfug- kann diese Vielzahl von Kontakten aufnehmen.
barkeit der Gatter.
Die kanallosen Gate-Arrays haben einen ganz
entscheidenden Vorteil: durch die freie Verdrah-
tung kann man vorgefertigte, optimierte Soft-
ware-Bausteine (Soft-Makros) verwenden. Sie —^—a—a—J
sind beziiglich Flachenbedarf und Signallei- p-Kanal |
tungslange optimiert und bieten daher inner-
halb des Makros eine bestmogliche Ausnutzung
des Sea of Gate-Chips. Beispiele fur solche opti-
mierte Makrofunktionen sind Speichermodule
(RAM, Random Access Memory, oder ROM,
Read Only Memory) und 16-Bit-Multiplizierer.
SGS Thomson verwendet bei ihrer ISB9000-
Serie eine Basis-Zelle, die aus 3 n-Transistoren
und 3 p-Transistoren besteht. Ihre physikaH- n-Kanal

schen Eigenschaften sind so gewahlt, daB sie


dieselben Schaltzeiten fiir die abfallende wie fiir
die ansteigende Flanke erreichen. Das Layout Polysilicon
einer solchen Matrixzelle zeigt Bild 14-25. Bild 14-25. Schaltzelle bei kanallosen Gate-Arrays.
14.3 Digitale Gate-Arrays 543

Pin-Grid-Array-Gehause m i t 2 9 9 AnschliJssen
^Markierung von 1 A durch fehlenden Pin— _.

y ^ 2019181716151413121110 9 8 7 6 5 4 3 2 1

•C i 1 1 4 1 ^ 1 « t % * i: r f: f # f: % 1
• lA
B
C 4 1. i ^^. 4 i * * ^ *: « i * f i: C € S % i c
C 4 i % % * . * * * 4 * i % i * C C; l> % 1
D
C i 1 s * ' i * i * t * % * *.: * i * t # 1
E
C s^ i 4 i * * 1: ^ « 1 t i i t %, m «• «l # 1
F
C i: :| ,? *. G
1
1 1 €:• % m % \ J
C € * s . I f , ; 1 t i; # % % % K
i €. €r is lii^ # 1 L
1 ii: # %: tSi H i
M
1 ^: fc % i # ^ N
1 <:, i %: %: S 1
0
c 1 * ^ ^ ^^mmmm^^^m^1 t: ij t; %: * :; P
Q
R
t : - * * < ! , . & * * % :s * * % n;; 4 # ; S
C 1 ' « ? • 4 * s i % * * * *, i„ %, € , 4 m T
U

Bild 14-26. Anschlufibelegung eines hochkomplexen Sea of Gates-Bauteiles. Werkfoto: Toshiba.

Bild 14-26 zeigt ein Sea of Gates-Bauteil aus der Moderne Entwicklungswerkzeuge, die auf na-
Serie TC140G der Firma Toshiba in einem hezu alien Rechnerplattformen erhaltlich sind,
PGA-Gehause mit 299 Anschliissen. erleichtern dariiber hinaus dem Anwender den
Die Identifikation der einzelnen Pins erfolgt mit Einstieg in die kundenspezifischen Schaltkreise.
Hilfe einer 20 x 20-Matrix. Zur Kennzeichnung Entwicklung, Simulation und Herstellung des
des 1. Pins (1 A) wurde dieser am Gehause frei- Bauteiles unterliegen ausschlieBhch seiner Ver-
gelassen. antwortung.

14.3.3 Programmierbare Gate-Arrays 14.3.3.1 Logic Cell Array (LCA)


Als Einstieg in die kundenspezifischen Bauteile Anfang 1987 prasentierte Monolithic Memories
haben sich die maskenprogrammierbaren Gate- Inc. (MMI) das Logic Cell Array LCA M2064.
Arrays in nahezu alien Gebieten durchgesetzt. Obwohl es sich bei diesem Bauteil ebenfalls um
Dies liegt in erste Linie an der Realisierung klei- ein vom Anwender programmierbares Bauteil
ner Schaltungen (Risikominderung beim Ein- handelt, zahlt es wegen seiner vollig anderen
stieg in eine neue Technologie) bei sehr hoher Strukturierung und hohen Komplexitat zu den
Flexibilitat. Demgegenuber stehen immer noch Gate-Arrays und nicht zu den PLD-Bauteilen.
eine lange und kostspielige Entwicklung, die Wie aus dem Namen Logic Cell Array bereits
vor allem fiir kleinere Unternehmen oft eine hervorgeht, befinden sich auf dem Sihciumchip
Hemmschwelle darstellen. eine Reihe gleichartiger Zellen, die vom Benut-
Auf der anderen Seite stehen fur eine kostengun- zer konfiguriert werden. Uber Verdrahtungs-
stige Verwirklichung kundenspezifischer Schal- kanale kann man die einzelnen Zellen miteinan-
tungen die PLD-Bauteile zur Verfugung. Bei ho- der verbinden. Bild 14-27 zeigt den prinzipiellen
hen Anforderungen an den Umfang der Schal- Aufbau eines LCA-Bauteiles. Die konfigurier-
tung und an die Schaltung selbst scheitert meist bare Logik-Zelle mit ihren programmierbaren
der Einsatz der PLD-Bauteile, da ihre Gatter- Datenpfaden ist dabei vereinfacht herausge-
zahl sehr begrenzt ist. Mit den programmierba- zeichnet.
ren Gate-Arrays wurde diese Liicke geschlos- Die Verbindung zwischen einzelnen Zellen
sen. Bei einer Komplexitat von 20 000 Gattern stellt man durch programmierbare Schaltmatri-
stoBen sie in GroBenordnungen vor, die bis da- zen her. Sie besitzen an den Knotenpunkten
hin den Gate-Arrays vorbehalten waren. eine 6-Transistor-Zelle, ahnhch den statischen
544 14 ASIC

D D n D D D D Dv 1 ripheral Mode), so dient die Datenleitung DO


I/O-Zellen als serieller Datenkanal. Ein Treiber-Programm
• 0 0 O Q O 0 O D b sorgt fur die Umsetzung der Programmierdaten
in einen seriellen Datenstrom auf der Leitung
D 0 0 O O O 0 0 0 D DO.
D 0 O Q O Q 0 Q Q D
• 0 0 ( Q ) ~G~~a^ao D
Schalt- Schalt-
D 0 lO C\ 0 r*|
^ /
p^kombinatorische^
Logik ^
matrix matrix

D Oi Q p r y A-
W B-
D qj cr i •fftf^f
programmierbare
Logik-Zelle
•**4444
fffffff

D q 0 & 0 LryT
^
w ^ ~n^ D ^
vorgefertigte Verdrahtungsmatrix
1 ^ program-
Datenpfad
z r i Schalt-
~ 1 matrix
4—•—f- Schalt-
matrix

Bild 14-27. Zellstruktur des LCA-Bauteiles. ' \ \ X z u s a t z l i c h e direkte Verbindungen


' \ zwischen den Logik-Zellen

SRAM-Speicherzellen (Static Random Access 6-Transistor-Zelle der


Verknijpfungspunkte
Memory), die standig neu beschrieben werden in der Schaltmatrix
konnen. Dies ermoglicht das Programmieren I I I I •
+-M-4—I—\-T-\— 4 I
des Bauteiles in der Anwenderschaltung. \\—iH— f
Jeder Umsteigepunkt des programmierbaren
Datenpfades ist jedoch mit einem Zeitverlust
verbunden. Aus diesem Grund gibt es auf dem •

LCA-Chip auch noch eine Reihe direkter Ver-
bindungen, deren Konfigurationsmoglichkei- Bild 14-28. Schaltmatrix eines LCA-Bauteiles.
ten allerdings eingeschrankt sind. Dafur ermog-
lichen sie kritischen Signalen auf schnellstem
Weg zu anderen Zellen zu gelangen. In Bild 14.3.3.2 Field Programmable Gate-Array
14-28 ist die Anordnung der Schaltmatrizen so- (FPGA)
wie der direkten Verbindungen zu sehen, die Wie das LCA bietet auch das FPGA (Field Pro-
man als Long Line Interconnection bezeichnet. grammable Gate-Array) bei kostengiinstiger
Im spannungslosen Zustand verliert das LCA- Entwicklung und der selbstandigen Program-
Bauteil seine Konfiguration, so daB es bei jedem mierbarkeit durch den Anwender (ahnlich den
Einschaltvorgang geladen werden mu6. Dies PLD-Bauteilen) eine Integrationsdichte, die
kann entweder durch ein kleines EPROM (Era- den Gate-Arrays entspricht. Der Aufbau des
sable Programmable Read Only Memory, Ab- Chips ist jedoch im Unterschied zum LCA der
schn. 12.2.2) erfolgen, das einen seriellen Da- Architektur der Gate-Arrays sehr ahnlich (hori-
tenausgang besitzt, oder durch einen Mikro- zontale und vertikale Verdrahtungskanale).
prozessor. Der Konfigurationsspeicher wird als Wahrend sich die horizontalen Verbindungen
Boot'PROMhQZQichnQi (engl. boot: obendrein). zwischen den Logik-Blocken befmden, werden
Steuerein- bzw. -ausgange des LCA-Bauteiles die vertikalen Verbindungen iiber die Module
sorgen fur den synchronen Datenaustausch zwi- gefiihrt. Die Aufteilung der Modulreihen zeigt
schen Boot-PROM und zu konfigurierender Bild 14-30.
LCA. Bild 14-29 veranschaulicht vier grund- Die Verbindungen zwischen den Moduln beste-
satzliche Moglichkeiten, ein LCA-Bauteil in der hen aus unterschiedlich langen Leitungen. Ihre
Schaltung zu programmieren. Lange reicht dabei von minimal zwei Blocklan-
Wird das LCA-Bauteil mit Hilfe eines Mikro- gen bis schlieBlich zu Leitungen, die den ge-
prozessors konfiguriert (Slave Mode oder Pe- samten Kanal durchqueren (Bild 14-30, unten).
14.3 Digitale Gate-Arrays 545

LCA-Ankopplung iiber Mikroprozessoren LCA-Konfiguration iJber Boot-PROM

Slave-Mode Peripherie-Mode Master-Parallel-Mode Master-Seriell-Mode

Adrel^-
Bus R/W DO

uP LCA LCA LCA LCA '


DIN
WRT
Strobe CCLK EPROM A15
"DO DIN Adrel^- & =
dekoder D7 A10
CS
Data

p j 1 DIN
D7 CLK RCLK
DO '

D7 D/P
AO
~i
AO i ^ CE
OE n D/P
D/P DO
—5—

Reset

Bild 14-29. Grundsdtzliche Moglichkeiten zur Programmierung eines LCA-Bauteiles.

Die kurzen Leitungssegmente bieten dabei ein der Umsteigepunkt von einer Leitung auf eine
HochstmaB an Flexibilitat. Benotigt man in andere eine Verzogerung des Signals. Speziell
einem Design mehrere lange Leitungen, so kon- bei Entwicklungen mit Datenbussen ist auf die
nen die einzelnen Verbindungselemente zusam- langsamste Datenleitung zu achten.
mengeschaltet werden. Allerdings bedeutet je- Die Makrozellen, die bei den FPGA-Bauteilen
Verwendung fmden, erfahren eine standige Wei-
I/O-Buffer Makrozellen
terentwicklung. Die ersten Strukturen, die fest-
Programmierlogik, Test- und Diagnose-Schaltung programmierbar auf diesem Chips realisiert
I I I I IJ I I I I I I I I II I M I I I I I I I II wurden, entsprachen im wesentlichen den LCA-
Zellen (Bild 14-27). Ende 1990 stellten Actel und
XILINX eine neue Generation hochkomplexer
FPGA-Bauteile vor. Die konfigurierbaren Lo-
gikblocke {CLE, Configurable Logic Block) der
Firma XILINX besitzen dabei zwei unabhan-
gige Flip-Flops und eine umfangreiche kombi-
natorische Logik. Insgesamt bieten 13 Ein-
gange und 4 Ausgange den Zugriff auf die Ver-
kniipfungsmoglichkeiten des CLB. Eine Neue-
rung stellt dabei die Verwirklichung der Boole-
schen Gleichungen durch sogenannte Funk-
tionsgeneratoren dar. Drei von ihnen sind in
der XILINX-Makrozelle implementiert (Bild
14-31).
Die Durchlaufzeit (engl.: Propagation Delay)
durch die Funktionsgeneratoren ist dabei unab-
hdngig von der reahsierten Booleschen Funk-
tion. Die Booleschen Verkniipfungen sind in
einer Tabelle abgelegt, so daB die Eingangs-
signale der Verkniipfung entsprechend auf
einen Tabellenplatz zeigen. Der Inhalt dieses
Bild 14-30. Field Programmable Gate-Array. Tabellenplatzes gibt dann das Ergebnis der Ver-
546 14 ASIC

C1 C2 C3 C4
1 1 1 1
CLB-Steuerung 5
Funktionsblocke
1 3

^
1 Look-Up
G1 — G1 Table

E)
G2 —o
2 Daten-
G'H G'2 > 4 —Q23
G3 Multiplexer
G4 — G4 21 3 SetVReset-
Steuerung
L HI h- G^*^ 4 Ausgangs-

F1 — F1
G'
F
1 H'h H' 2

^
3

h Flip-Flops
5 Multiplexer-
und Daten-
Steuerung
G ^ K-O
" 1 F'h F 2 > 4 — Q1
DIN
F3 ^
F4 —
F4
E^
U-^ ^
2 r

CLK

Bild 14-31. CLB der Firma XILINX.


knupfung wieder. Da die Funktionsweise der
Zahl der Aufbau der Ausgang Ausgang kombina- Look-Up-Tabellen dem Aufbau von Speichern
Eingange Look-Up Table mit mit torischer
Register Latch Ausgang ahnlich ist, konnen sie auch in kleinerem Um-
fang als Speicher konfiguriert werden.
DOO Auch Actel bedient sich dieser Look-Up-Tabel-
D01 Z len, um Boolesche Verkniipfungen auf dem
D10
D11 Chip zu verwirkUchen. Sie verwenden jedoch
T~JS1|S0 |CLK| nur eine Verknupfungstabelle sowie einen Flip-
CLR Flop, wodurch die ungenutzten Funktionsteile
einer Makrozelle bei nur teilweiser Ausnutzung
DOO
D01 ^ D OUT minimiert werden. Dabei sind die vier typischen
D10 Konfigurationen nach Bild 14-32 mogHch.
D11
Auf den Bauteilen erreicht man durch die
H-^TLFF"
^1
Gate 1,2 )im-Technologie Taktfrequenzen bis 60 MHz.
Das erste Bauteil war der ACT 1280, der eine
D OUT
Komplexitat von 8000 Gatter-Aquivalenten
aufweist.
Im Gegensatz zu den PLD-Bauteilen, bei denen
iGatej
^77D^ CLR
die nichtgenutzten Sicherungselemente zerstort
werden, werden bei den FPGA die leitenden
poo
Verbindungen zwischen Moduln und Leitungen
D01 programmiert. Dazu erzeugt man sogenannte
010 -OUT
D11
And-Fuses (umgekehrte Sicherungen), ein Ver-
bindungselement, das bei Anlegen einer Pro-
TP grammierspannung dauerhaft in den leitenden
Zustand iibergeht. Diese Art der Verbindungs-
herstellung hat einen entscheidenden Vorteil: Da
Bild 14-32. Typische Anwendungen der Actel Ma- bei einem Schaltungsentwurf nur ein geringer
krozelle. Teil der moghchen Verbindungen benotigt wird.
14.4 Standard-Zellen-ASIC 547

bleiben alle anderen verfugbaren Knotenstellen Neben diesen konfigurierbaren Leitungen be-
von der Programmiersoftware unbeachtet. fmden sich noch weitere Leitungen auf dem
Hingegen werden bei den PROM und PAL alle Chip, deren Funktion festgelegt ist. Dies sind
nicht benotigten Verbindungen (oft mehr als vor allem die Spannungsversorgungen ( + 5V
90%) durch die Programmierung zerstort. Bei und Masse), aber auch eine spezielle Takt-Lei-
den FPGA, wie beispielsweise dem ACT 1280 tung, die iiber gesonderte Leitungstreiber zu je-
von Actel mit mehr als 700 000 moglichen pro- dem Modul gefiihrt werden. Damit vermeidet
grammierbaren Verbindungen, wiirde dies ei- man Taktverzogerungen (engl.: clock-skew), wie
nen erheblichen Zeitaufwand bedeuten. sie durch programmierbare Umsteigpunkte er-
Bin weiterer Vorteil der Anti-Fuse-Program- zeugt werden. Dariiber hinaus stehen fur Test-
mierung ist die deutliche Verringerung des Pro- zwecke noch eine Reihe von Zugriffsmoghch-
grammier-Stresses des Chips. Geringere Aus- keiten auf die einzelnen Logikblocke und Si-
fallwahrscheinlichkeit und eine Erhohung der gnalleitungen zur Verfiigung.
Chiplebensdauer, angegeben als MTBF (Mean
Time Between Failure) in Stunden, sind das Er-
gebnis.
14.4 Standard-Zellen-ASIC
Die Programmierung der Anti-Fuse-Verbin- PAL- und Gate-Array-Entwicklungen konnen
dungen erfolgt durch das Anlegen einer iiber- bei sehr groBen Stiickzahlen (100000 pro Jahr
hohten Spannung. Dies laBt sich direkt mit den und mehr) fiir Fertigung und Produktion sehr
Kontaktierungen eines Gate-Arrays zwischen kostspieUg werden. Die Voraussetzung fiir die
den verschiedenen Metallisierungsebenen ver- Entwicklung eines Vollkundenschaltkreises
gleichen. Damit alle Knoten anwahlbar sind, be- muB damit aber noch nicht erfiillt sein. Ge-
finden sich zwischen den Leitungssegmenten schlossen wird diese Liicke durch die Standard-
Transistoren, die man durch horizontale und Zellen-ASIC, die zu den Halbkunden-Bauteilen
vertikale Kontrolleitungen steuern kann. Bild zahlen.
14-33 zeigt die Programmierung einiger Anti- Durchgesetzt haben sich die Standard-Zellen-
Fuses und die sich dadurch ergebenden beiden ASIC zuerst in der Konsumelektronik. Die dort
Datenpfade zwischen den Logikblocken. anfallenden hohen Stuckzahlen sprachen fur

he riz Dntale Kont rol eit j n g en vertikale Kontrolleitungen

11 11 ii 11 11
Jl
Jl Jl Jl Jl Jl
(• 4 4 (
t < (\
programmierte
F1 F2 — Verbindung
,
» 1
r n_o^x f 1
T
/ k. '
, r \ ' \
* 1
r n o . ^ ""•"--^ horizontale
' FT 1 Y F4 ' ' ^ ^ Verbindungs-
, \ S, . 1Tr
'
1 S
' ? '
/> *>
nicht y
programmierte
Verbindung
Ir ]h > ]h ]h ]h
\ i » » { » { \ •

vertikale Verb nd unc)sle tur igen

Bild 14-33. Programmierung eines Datenpfades.


548 14 ASIC

erhohen. Auch fxihrt man die Wafer, die aus


hochreinem Silicium bestehen, in unterschied-
hchen Durchmessern aus. Je groBer der Durch-
messer eines Wafers ist, desto mehr Chips kann
man in einer Arbeitsfolge fertigen. Bild 14-35
zeigt zwei Wafer mit 6 Zoll und 3 Zoll Durch-
messer.
Die Verwendung sehr groBer Wafer ist nicht
unproblematisch. Dies setzt sehr genaue Mas-
ken und sehr gute Optiken voraus, um Kontur-
verzerrungen am Rand zu vermeiden.

Bild 14-34. ASIC in der Unterhaltungselektronik.


Werkfoto: Telefunken.

eine Integration auch komplexer Baugruppen


auf einem Silicium-Chip. Gleichzeitig wurde da-
mit in den meisten Fallen die Funktionalitat
erhoht, bei geringerem Platzbedarf und geringe-
ren Produktionskosten. Dem Ziel, kostengiin-
stige Endprodukte auf den Markt zu bringen,
ist man mit den ASIC-Bauteilen einen groBen
Schritt naher gekommen. DaB dies gleicher-
maBen fiir analoge und digitale ASIC gilt, zeigt
Bild 14-34 am Beispiel der Fernsehiibertragung Bild 14-35. Wafer fur die Chip-Herstellung.
liber Satelliten.
Werden mehr als 100000 kundenspezifische 14.4.1 Aufbau der Standard-Zellen-ASIC
Schaltkreise pro Jahr benotigt, greift man nicht Im Gegensatz zu den Gate-Arrays basiert das
mehr auf die vorgefertigten Strukturen zuriick, Standard-Zellen-Verfahren nicht auf vordiffun-
sondern wahlt die flexiblen Verbindungsmog- dierten Wafern, sondern auf charakteristischen
lichkeiten der Standard-Zellen-Bauteile. Der Einzelzellen, die durch entsprechende Makros
sehr teuren Entwicklung (daher nur fiir hohe konfiguriert werden. Diese Makros sind Soft-
Stiickzahlen geeignet) steht die Optimierung ware-Bausteine, die sowohl einfache Gatter-
der Chipflache entgegen. funktionen (NAND, NOR), als auch komplexe
Der Ausnutzungsgrad bei Gate-Arrays oder an- Zahler und ganze Baugruppen umfassen (z. B.
deren vorgefertigten Chips kann nur im theore- eine serielle Schnittstelle). Sie sind in einer Bi-
tischen Fall 100% erreichen. Doch meist bleibt bUothek zusammengefaBt und lassen sich jeder-
ein erheblicher Teil der Chip-Flache ungenutzt. zeit in eine Schaltung integrieren. Prinzipiell
Griinde dafiir konnen sowohl Layout-Schwie- kann man durch dieses Verfahren jede Schal-
rigkeiten sein (es stehen nicht geniigend Ver- tung wieder als Makro in eine weitere Schal-
drahtungskanale zur Verfiigung), meistens je- tung integrieren. Ein Beispiel dafiir ist der Re-
doch die Tatsache, daB eine Schaltungsentwick- chenkern des Mikroprozessors Z80, der als
lung mit entsprechend vielen Gatteraquivalen- Makro in der Standard-Zellen-BibHothek zur
ten nicht genau die GroBe der vorgefertigten Verfiigung steht.
Chips besitzt. So bleibt stets ein Rest von unge- Ein Silicon Compiler, der die Makros auf die
nutzten Gatterfunktionen. Chip-Strukturen zuriickfuhrt, setzt schlieBhch
Dieser Nachteil - jede Chip-Flache ist mit Ko- den am Computer entwickelten Stromlauf
sten verbunden - tritt bei den Standard-Zellen (Schaltung) in die notwendigen Herstellungs-
nicht auf, weil der Chip volhg neu aufgebaut prozesse um. Die Sihciumflache wird optimiert,
wird. Durch Optimierung des Design ist es um so eine moghchst hohe Ausnutzung zu errei-
moghch, die Anzahl der Chips auf dem Wafer zu chen. Dies hat direkten EinfluB auf die Anzahl
14.4 Standard-Zellen-ASIC 549

ander verkniipft werden, die eigentliche Schal-


tung aber verhaltnismaBig einfach ausfallt. In
diesem Fall bestimmen die maximal mogUch
anzuordnenden I/O-Pads die notwendige SiU-
ciumflache. Um auch bei diesem Problem eine
Optimierung durchzufuhren, stehen dem An-
wender unterschiedliche Ein-/Ausgangs-Schal-
tungen zur Verfugung. Ihre Abmessungen (Pro-
file) unterscheidet man in mittel, hoch und
niedrig. Bild 14-37 verdeutlicht den Vorteil
unterschiedlicher I/O-Profile.
Bei kleinen Schaltungen mit hoher Pinzahl laBt
sich die Chipflache durch die Verwendung des
hohen I/O-Profils optimieren, umgekehrt fm-
den niedrige Profile bei komplexen Designs und
wenigen Ein- und Ausgangen Verwendung.

14.4.2 Elektronenstrahl-Direkt-
Bild 14-36. Ausschnitt eines Wafers. Schreibverfahren
Werkfoto: Telefunken.
Einen vollig neuen Weg zu kundenspezifischen
der Chips, die man auf einem Wafer erzeugen Schaltkreisen ging die Firma ESS (European
kann. Auch hier laBt sich bereits ein weiterer Silicon Structures). Ihr Ziel war es, Prototypen
Unterschied zu den Gate-Arrays erkennen: in kurzer Lieferzeit und in kleinen Stiickzahlen
Eine Flachenoptimierung ist bei den vordiffun- fiir den europaischen Markt herzustellen, um so
dierten Strukturen des Gate-Arrays nicht mog- gegen die japanische Konkurrenz wettbewerbs-
lich. Bild 14-36 zeigt einen Waferausschnitt mit fahig zu bleiben. Dazu ging sie von der Masken-
voUstandiger Ausnutzung der Chipflache. technik ab und entwickelte ein vollig neues Pro-
Eine Einschrankung der Flachenoptimierung duktionsverfahren. Die hohe Flexibilitat der
kann durch die Anzahl der notwendigen Ein-j Standard-Zellen sollte erhalten bleiben und die
Ausgangs-Signale und die dazu notwendigen erforderhche Stiickzahl erheblich verringert
Treiberzellen erforderUch sein. Dies tritt insbe- werden. Die Losung dieses Problems fuhrte zu
sondere dort auf, wo sehr viele Signale mitein- dem Elektronenstrahl-Direkt-Schreibverfahren.

Bond-Pad

hoch Ausgangstreiber-
Transistoren
SI
•o

^
o
QI
mittel

niedrig
mm
' flexible
I I I I D I I I ] ^Chip- .
[ - ^ B ^ ^ ^ - ^ Flache

Bild 14-37. Verschiedene Profile von Ein-jAusgangszellen.


550 14 ASIC

Das Schreiben der Geometrien direkt auf den Ein Strahl, dessen Geometric rechteckig oder
Wafer erspart die Herstellung teurer Masken dreieckig sein kann, zudem mit einstellbarer
und ermoglicht groBere Freiheiten bei der Er- Kantenldnge, wird von zwei unterschiedlichen
stellung kundenspezifischer Schaltkreise. Auch Ablenkeinheiten gesteuert. Dabei steuert die
kann man ohne Mehraufwand verschiedene groBe und damit langsamere Einheit den Strahl
Strukturen auf einem Wafer gleichzeitig unter- von einem Layoutbereich zum anderen, also
bringen. Da die Einrichtungskosten und die von Chip zu Chip auf dem Wafer. Die kleinere,
Programmierung unabhangig von den Stiick- sehr viel schnellere Ablenkeinheit ist hingegen
zahlen erfolgt, ist man beim Elektronenstrahl- fur die Struktur innerhalb der einzelnen Be-
Direkt-Schreibverfahren nicht auf bestimmte reiche und somit fur das eigentliche Chiplayout
LosgroBen festgelegt. So konnen auch sehr zustandig. Durch die Steuerung der Strahlbreite
kleine Stiickzahlen, theoretisch auch Einzel- kann man groBe Flachen durch einen breiten
stucke, auf einem Wafer neben anderen Designs Elektronenstrahl erheblich schneller beschrei-
verwirkHcht werden. ben. Fiir sehr feine Strukturen, wie beispiels-
Ein ebenfalls groBer Vorteil ist die Archivierung weise Kanten, kann der Elektronenstrahl bis
der Designs. Sie werden auf Magnetbdndern ge- auf ein Quadrat mit 0,1 fim Kantenldnge ver-
speichert und abgelegt. Masken hingegen miis- engt und positioniert werden.
sen in khmatisierten Lagerraumen sehr sorgfal- Da der Elektronenstrahl voUig unabhangig von
tig aufbewahrt werden, damit sich in den oft nur weiteren Komponenten zur Strukturbildung ist,
wenige |im breiten Strukturen keine Verzerrun- sind praktisch keine Begrenzungen fiir die
gen ergeben oder gar eine Schrumpfung des GroBe der Chips und die Anzahl der verschie-
Films erfolgt. denen Designs pro Wafer vorhanden. Ledighch
die Datenaufbereitung erfordert bei steigenden
Die Aufbereitung der Design-Daten des ASIC
erfolgt mit Hilfe eines GroBrechners. Dabei Design-Varianten einen erheblichen Aufwand,
werden die geometrischen Leitungsziige in so daB der dazu erforderhche Rechenaufwand
mehrere Polygone zerlegt (engl.: Fracturing). Ein eine wirtschaftliche Grenze darstellt.
weiterer Rechner steuert den Elektronenstrahl.
Dabei wird nicht nur die Richtung und Intensi-
14.4.3 Standardisierte Kundenschaltkreise
tat gesteuert, sondern auch die Form des Elek-
tronenstrahles. Bild 14-38 zeigt den prinzi- (Application Specific Standard Products,
piellen Aufbau eines Elektronenstrahl-Direkt- ASSP)
Schreibautomaten. Kundenspezifische Bauteile haben den Vorteil,
Kathode daB ein Entwicklungsv orsprung (Know-how) be-
Linse 1 stens geschiitzt werden kann. In Baugruppen-
Blendenoffnung beschreibungen und Veroffentlichungen stellt
Linse 2
man daher ASIC-Bauteile meist als Black-Box
oberer Strahlformer
dar, die auBer den Eingangssignalen keine wei-
[Z
elektrostatische
tere Funktionsbeschreibung enthalt. Die ASIC
I—^.--^Strahlablenkung dieser Art sind auch auf dem freien Markt nur
unterer Strahlformer
mit dem Einverstandnis des Planers oder des
Feldlinse Auftraggebers erhalthch.
VergroRerungslinse VoUig anders verhalt sich dies mit den Applica-
Nebenfeld-
tion Specific Standard Products (ASSP). Dabei
Ablenkung handelt es sich ebenfalls um kundenspezifische
Fokusierung
\ Bauteile, die jedoch als Standard eingefiihrt
/

i
wurden und somit fiir jedermann erhalthch
Objekt- sind. Ein Beispiel dafiir ist der 2D-Convolver
Linse Chip PDSP16488 von Plessey gemaB Bild
14-39.
Hauptfeld-
Ablenkung Schreiboberflache ASSP-Bauteile gehen meist aus geforderten
Projektvorhaben hervor. Doch auch einige spe-
Bild 14-38. Elektronenstrahl-Direkt-Schreibverfahren. zielle ASIC-Entwicklungen, von denen sich der
14.4 Standard-Zellen-ASIC 551

Video-Grafik-Adapter-Bauteile) oder Bus-Kon-


troller-Bauteile, wie z. B. der VMEbus-Baustein
FGA002 von NEC.
Ebenso vielfaltig wie die verschiedenen Reali-
sierungsmoglichkeiten sind die Gehause der
ASIC-Bauteile. In Abhangigkeit der notwendi-
gen Kontakte sind heute nahezu alle Varianten
denkbar. Fiir oberfldchenmontierbare Bauteile
(SMD, Surface Mounted Device) stehen bei-
spielsweise Flat-Pack- und Small-Outline-Ge-
hause zur Verfugung, fur bedrahtete Bauele-
mente PGA-Gehause mit bis zu 300 Anschliis-
sen (Bild 14-26). Einen Uberblick uber Gehause
bei ASSP-Bauteilen zeigt Bild 14-40.
Hybride Schaltkreise lassen sich auch ganz
ohne Gehause herstellen. In diesem Fall wird
der Chip direkt auf die Leiterplatte geklebt, und
die Kontakte werden mit sehr feinen Drahten,
Bild 14-39. Application Specific Standard Product: sogenannten Bond-Drdhten, hergestellt. Zum
2D-Convolver. Schutz vor Umwelteinflussen wird der gesamte
Werkfoto: Plessey. hybride Schaltkreis anschheBend mit einem
Schutzlack iiberzogen. Bild 14-41 zeigt einen
Hersteller eine weitere Verbreitung auf dem Chip von wenigen Quadratmillimetern GroBe
Markt verspricht, sind als Standardprodukte zwischen einigen passiven Bauelementen. Im
im Angebot. Beispiele hierfur sind spezielle Bild hnks befmdet sich eine Diode, die kaum
Grafik-Kontroller fur den PC (VGA-Chips, groBer als ein Stecknadelkopf ist.

Bild 14-40. Gehdusevielfalt bei ASSP-Bauteilen. Bild 14-41. ASIC als Chip auf einem Hybrid-Bauteil.
Werkfoto: Telefunken. Werkfoto: Telefunken.
552 15 Speicherprogrammierbare Steuerungen

15 Speicherprogrammierbare
Steuerungen

15.1 Einfiihrung
Eine Steuerung dient zum Steuern einer Ma-
schine oder allgemein eines technischen Prozes-
ses, abhangig von Prozefisignalen und externen
Steuersignalen. Bild 15-1 zeigt die Struktur eines Bild 15-2. Programmiergerdt fiir die speicherpro-
Steuerungssystems. grammierbare Steuerung.

Eingangs-
signale Ausgangs- der mit speziellen, auf Steuerungszwecke zuge-
Bedienpult J signale schnittenen Programmiersprachen program-
Steuerung
miert werden kann (Bild 15-2).
>
Der Befehlsvorrat einer SPS orientiert sich an
L Signal- ProzeB/ Stell- den fur binare und digitate Steuerungen benotig-
geber c= Maschine <^ glieder ci ten Funktionen wie
Bild 15-L Struktur eines Steuerungssystems. - logische Verkniipfung,
- Speicherung,
- Zeitbildung,
Die Steuerung erhalt als Eingangssignale die - Zahlen,
von auBen kommenden Bediensignale und die - Datentransport und
von Signalgebern (z. B. fiir Temperatur, Dreh- - arithmetische Operationen.
zahl, Druck) gebildeten Prozefisignale. Abhan-
gig von diesen Eingangssignalen erzeugt die Mit der SPS steht ein universelles Geratesystem
zur Verfiigung, das vom Anwender lediglich fur
Steuerung entsprechend ihres Steuerprogramms
die jeweilige Steuerungsaufgabe programmiert
die Ausgangssignale, die zur Steuerung des Pro-
werden muB. Im Gegensatz zur verbindungs-
zesses uber die Stellglieder (z. B. Schiitze, Ven-
programmierten Steuerung entfallt deshalb
tile, Motoren) dienen.
eine individuelle Schaltungsentwicklung. Aus
Das sogenannte Steuerprogramm legt die wir- diesem Grunde haben speicherprogrammierte
kungsmafiigen Eigenschaften der Steuerung und Steuerungen die verbindungsprogrammierten
damit die funktionale Abhangigkeit der Aus- Steuerungen iiberall dort weitgehend ver-
gangssignale von den Eingangssignalen der drangt, wo Maschinen und Anlagen nur in klei-
Steuerung fest. - Nach der Art der Verwirkli- nen oder mittleren Stiickzahlen gebaut werden.
chung des Steuerprogramms werden in DIN Typische Einsatzbereiche sind:
19237 verbindungs- und speicherprogram- - Maschinen- und Anlagenbau,
mierte Steuerungen unterschieden.
- TransferstraBen,
Bei verbindungsprogrammierten Steuerungen ist - NC-Steuerungen (als Subsysteme),
das Steuerprogramm festgelegt durch Art und - Lager- und Regalsteuerungen,
Verbindung der Bauelemente der Steuerung. - Verpackungseinrichtungen,
Bei speicherprogrammierten Steuerungen (SPS) - Misch- und Abfiillanlagen,
ist das Steuerprogramm festgelegt durch ein im - Fertigungs- und Priifeinrichtungen,
Programmspeicher der Steuerung abgelegtes - Verkehrssteuerung und
Programm, das wie ein Rechnerprogramm aus - Verfahrenstechnik.
einer Folge von Anweisungen besteht. In den genannten Bereichen werden speicher-
Eine speicherprogrammierbare Steuerung ist programmierte Steuerungen vorwiegend fur
im Grunde ein Spezialrechner fur Steuerungs- binare und digitale Steuerungsaufgaben einge-
zwecke, der liber Ein- und Ausgange fur binare setzt. Mit speziellen Zusatzbaugruppen laBt
und eventuell analoge Steuersignale verfugt und sich der Einsatzbereich ausdehnen auf
15.2 Aufbau und Wirkungsweise 553

- Positionssteuerungen (Achspositionierung), gabebaugruppe dargestellt. UbUcherweise ent-


- Regelung, halt jeder binare Eingangskanal eine Eingangs-
- Priif- und Uberwachungsaufgaben und schaltung zur Anpassung der Eingangssignale
- Bedienen, Melden und ProzeBvisualisierung. an TTL-Pegel, eine LED-Anzeige zur Anzeige
Einerseits werden heute billige, kompakte des anliegenden Eingangswertes, einen Ent-
Kleinsteuerungen fur einfachste Aufgaben an- storfilter, einen Optokoppler zur galvanischen
geboten. Andererseits stehen umfangreiche Trennung der Eingangssignalkreise von der
speicherprogrammierbare Steuerungssysteme Steuerung und eine Dekodierschaltung, um der
zur Verfiigung, die in ihrer Leistungsfahigkeit Steuerung den gezielten Zugriff zur betreffen-
bis an ProzeBrechensysteme heranreichen, aber den Baugruppe iiber eine Eingangstorschaltung
den Vorteil einer wesentlich einfacheren Pro- zu ermogUchen.
grammierung bieten. Die Ausgabebaugruppen dienen, abhangig von
der Bauart, zur Ausgabe von 8,16 oder 32 bina-
ren Ausgangswerten. In Bild 15-5 ist eine typi-
15.2 Aufbau und Wirkungsweise sche Ausgabebaugruppe fur 8 binare Ausgangs-
werte gezeigt. Durch Ausgabe der jeweihgen
GemaB Bild 15-3 enthalt eine SPS, wie jeder
Baugruppenadresse kann das Steuerwerk ge-
Rechner, ein Steuer- und Rechenwerk sowie
zielt an eine Ausgabebaugruppe Ausgangswerte
einen Programm- und einen Datenspeicher
ausgeben. Jeder einzelne binare Ausgangskanal
(Merker). Daruber hinaus verfiigt die SPS iiber
enthalt einen l-Bit-Speicher zur Speicherung
Zeitgeber, eine Schnittstelle zum Programmier-
des jeweiligen Ausgabewertes, eine Anzeige
gerat und Ein-/Ausgabeeinheiten, die abhangig
fiir den Ausgabewert, eventuell eine galvani-
von Umfang und Ausbaugrad der Steuerung
sche Trennung des Ausgangssignalkreises vom
jeweils eine oder mehrere Ein- und Ausgabe-
Steuerungsstromkreis und ein Verstdrkerglied
baugruppen umfassen.
zur direkten Ansteuerung von Stellgliedern.
Gangige Ausgangssignalwerte sind 24 V Gleich-
EO.O-A Programm- \-A0.0
Steuerwerk
speicher A0.1
spannung (Transistor-Ausgang) und 220 V
^aZ-^Ein- Aus-
£ 0 . 2 - 1 gabe- \-A0.2 Wechselspannung (Triac-Ausgang). Es werden
ein- =CHein- auch Relaisausgange mit potentialfreien Kon-
heit 3L 3L
Zeit-
3L
Schnitt-
heit
takten angeboten.
Merker •A7.7
E7.7-\ geber stelle

"C
Bild 15-3. Aufbau einer speicherprogrammierbaren
•Programmiergerat
AO.O

Steuerung.

Hi Signal- LED- Ent- I |Opto-| ir-.


a n p a s - W A n - W s t o r Ukopp-U^'"9^"9s
fl A0.7
EO.O-
sung zeige filter ler tor
schaltung

E0.7-f^ Bildl5-5. Aufbau einer typischen Ausgabebau-


AdreS-
Baugruppen- AdreB- bus gruppe.
adresse dekoder
Die Speicherung der binaren Ausgabewerte auf
Bild 15-4. Aufbau einer typischen Eingabebau- der jeweihgen Ausgabekarte ist notwendig, um
gruppe. aus den von der Steuerung impulsformig ausge-
gebenen Werten Dauersignale zu erzeugen. Die
Jede Eingabebaugruppe dient, abhangig von Ausgabebaugruppen konnen eventuell noch
der Bauart, zur Eingabe von 8,16 oder 32 bina- Einrichtungen zur Uberwachung der Ausgange
ren Eingangssignalen. Gangige Eingangssignal- auf KurzschluB und zum Abschalten der Aus-
werte fur die binaren Eingangswerte 0/1 sind gange im Storfall enthalten.
0/24 V Gleichspannung und 0/220 V Wechsel- Die Zeitgeber ermoglichen die Bildung der fur
spannung. steuerungstechnische Aufgaben erforderhchen
In Bild 15-4 ist der Aufbau einer typischen Ein- Zeiten im Bereich von etwa 0,01 s bis 1000 min.
554 15 Speicherprogrammierbare Steuerungen

Sie sind entweder durch eigene Baugruppen mit Die SPS bildet die UND-Verkniipfung, indem
entsprechenden Zeitgliedern oder durch Spei- sie gemaB Tabelle 15-1 nacheinander die zuge-
cherbereiche realisiert, in denen die Zeitzahler- horigen Befehle fiir Abfrage und Verknupfung
stande der einzelnen Zeitgeber abgelegt sind der Eingangswerte und die Ausgabe des Ergeb-
und immer nach Ablauf der jeweiligen, mit einer niswertes durchfiihrt. Es liegt somit eine zeitlich
Echtzeituhr gebildeten, Zeiteinheit erniedrigt serielle Arbeitsweise vor, im Gegensatz zu einer
werden. verbindungsprogrammierten Steuerung, bei der
Ein Merker ist ein Schreib-Lesespeicher zum in einem UND-Glied die einzelnen Vorgange
Zwischenspeichern eines 1-Bit-Ergebnisses. Gan- immer zeithch parallel ablaufen.
gige Merkerkapazitaten sind 128 bis 4098 Bit. Die zeitlich serielle Arbeitsweise hat erhebliche
Der Programmspeicher enthalt, wie in Tabelle Konsequenzen fiir das Verhalten und die Pro-
15-1 dargestellt, die Anweisungen des Anwen- grammierung speicherprogrammierter Steue-
derprogramms im Maschinenkode, beispiels- rungen:
weise als 16-Bit-Worte unter fortlaufenden - Zyklischer Programmablauf
Adressen. Normalerweise werden hierzu gepuf- Damit die Steuerung auf Eingangszustands-
ferte Schreib-Lese-Speicher verwendet. Sofern anderungen reagieren kann, muB das Pro-
das Anwenderprogramm nicht mehr verandert gramm gemaB Bild 15-6 laufend in einem be-
werden muB, konnen auch Festwertspeicher in stimmten Zyklus wiederholt werden.
Form von EPROM eingesetzt werden.

Tabelle 15-1. SPS-Programm mit Bearbeitungs-


folge.
Zyklus 1

Zyklusdauer T^
n Zeit f

Speicher- Anweisung Erlauterung Bild 15-6. Zyklische Programmbearbeitung.


adresse
Die Bearbeitungszeit fiir einen Programm-
i t ^^^ Anweisung 1 durchlauf wird als Zyklusdauer Tz bezeich-
1 1 001 Anweisung 2 net. Diese Zyklusdauer ist natiirlich von der
Programmlange abhangig und betragt fiir
UEO.l Abfrage des Wertes 1000 Anweisungen typischerweise 1 ms bis
1 ^^^ von Eingang EO.l 10 ms.
Reaktionszeit
1 ^^^ UE0.2 UND-Verkniipfung
mit dem Wert von Infolge der zykhschen Programmbearbei-
E0.2 tung muB im ungiinstigsten Fall mit einer
1 014 = AL5 Ausgabe des Ver- Reaktionszeit der Steuerung in der GroBe der
kniipfungsergeb- Zyklusdauer Tz gerechnet werden. Damit
nisses am Ausgang wird die Programmlange durch die maximal
AL5
L 1 015 PE zulassige Reaktionszeit der Steuerung (z. B.
Programmende
von 100 ms) begrenzt.
Mindestdauer der Eingangssignale
Das Steuerwerk liest die Anweisungen des An- Die Abfrage eines bestimmten Eingangssi-
wenderprogramms in der Reihenfolge der gnals durch eine entsprechende Anweisung
Adressen aus dem Programmspeicher und fiihrt erfolgt periodisch im Abstand der Zyklus-
die zugehorigen Operationen aus. dauer Tz. Ein kurzzeitiger Eingangsimpuls
Die Arbeitsweise einer SPS soil am Beispiel kann deshalb von der Steuerung nur dann
einer einfachen UND-Verkniipfung veran- sicher erfaBt werden, wenn die Impulsdauer
schaulicht werden. Die Eingangswerte von groBer ist als die Zyklusdauer.
EO.l und E0.2 sollen liber eine UND-Funktion Speicherung der Ausgangssignale
verkniipft und das Verkniipfungsergebnis am Das Steuerwerk erzeugt ein bestimmtes Aus-
Ausgang A 1.5 ausgegeben werden. Tabelle 15-1 gangssignal nur wahrend der Bearbeitungs-
zeigt ein SPS-Programm, das unter anderem dauer des betreffenden Ausgabebefehls. Da-
auch das Teilprogramm fiir diese UND-Ver- mit am Steuerungsausgang jedoch ein konti-
kniipfung enthalt. nuierhches Ausgangssignal zur Verfiigung
15.3 Programmierung speicherprogrammierbarer Steuerungen 555

steht, muB jedes Ausgangssignal auf der Aus- men wirkungslos, was die Programmierung
gabebaugruppe gespeichert werden. bestimmter Funktionen vereinfacht.
Nachteilig ist, daB der Datentransfer zwischen
Prozefiabbild den Ein- bzw. Ausgangsklemmen und den Zwi-
Die meisten modernen speicherprogrammier- schenspeichern die Zyklusdauer vergroBert,
ten Steuerungen verfiigen gemaB der Darstel- und daB sich die Reaktionszeit der Steuerung
lung in Bild 15-7 iiber ein sogenanntes ProzeB- im ungiinstigsten Fall auf die doppelte Zyklus-
abbild in Form von Zwischenspeichern fiir die dauer erhoht.
Ein- und Ausgangswerte.
Vor jedem Programmzyklus werden alle Ein-
gangswerte von den Eingangsklemmen in den
15.3 Programmierung
Eingangszwischenspeicher geladen und somit speicherprogrammierbarer
dort ein Abbild des Eingangszustandes (Pro- Steuerungen
zefiabbild) erstellt. Wahrend des anschlieBen-
den Programmdurchlaufs arbeitet die Steue- 15.3.1 Befehlsvorrat einer SPS
rung nur mit den Werten des ProzeBabbildes in
dem Eingangs- und dem Ausgangszwischen- Die Grundfunktionen einer SPS umfassen im
speicher und nicht mit den an den Ein- und wesentlichen 1-Bit-Operationen zur logischen
Ausgangsklemmen voriiegenden aktuellen Wer- Verkniipfung und zum Setzen bzw. Rucksetzen
ten. Nach jedem Programmzyklus werden die von 1-Bit-Operanden wie Eingangen E, Aus-
im Ausgangszwischenspeicher entstandenen gangen A und Merkern M. Zeit- und Zahlfunk-
Ausgangswerte an die Ausgabeeinheit ausgege- tionen erfordern teilweise schon Mehrbit-Ope-
ben. rationen zum Laden und Transferieren von
Zahlenwerten.
EO.O E0.1 . . . . Eine Anweisung kennzeichnet gemaB Bild 15-8
Eingabeeinheit
in ihrem Operationsteil die Art der Operation
(hier die UND-Verkniipfung), die mit dem im
0 Operandenteil genannten Operanden durchzu-
Ei nga ngszwischenspeicher
fiihren ist. Das Operandenkennzeichen gibt die
0 Art des Operanden (hier Eingang E), der Para-
Steuerwerk meter die Nummer des Operanden an.
~1T~ Operationsteil Operandenteil
Ausgangszwischenspeicher
Kennzeichen Parameter
Ausgabeeinheit U E 2.1
1 \ Bild 15-8. Struktur der Anweisung: ,,UND- Verkniip-
AO.O AO.l . . . .
fung" mit dem Wert von Eingang E2.1.
Bild 15-7. SPS mit Prozefiabbild.
In Tabelle 15-2 ist ein typischer Befehlssatz
Das ProzeBabbild bietet folgende Vorteile:
einer einfachen SPS dargestellt. Er orientiert
- Das Zwischenspeichern der Eingangswerte sich an DIN 19 239 und an der weitverbreiteten
gewahrleistet, daB die Steuerung innerhalb Progammiersprache STEP5. Es ist jedoch zu
eines Programmzyklus immer mit konstan- beachten, daB sich die Befehlssatze der verschie-
ten Eingangswerten arbeitet, wodurch be- denen SPS-Hersteller hinsichtlich des Befehls-
stimmte Programmierfehler von vornherein umfangs, der Formulierung und auch der Be-
vermieden werden. fehlswirkung mehr oder weniger stark unter-
- Das Zwischenspeichern der erzeugten Ausga- scheiden.
bewerte ermoglicht der Steuerung eine ein-
fache Abfrage der eigenen Ausgangswerte.
15.3.2 Arten der Programmdarstellung
AuBerdem bleiben die innerhalb eines Zyklus
nur voriibergehend erzeugten Anderungen Programme speicherprogrammierter Steuerun-
von Ausgangswerten fiir die Ausgangsklem- gen konnen auf drei Arten dargestellt werden,
556 15 Speicherprogrammierbare Steuerungen

Tabellel5-2. Befehlsliste einer speicherprogrammierbaren Steuerung.


Befehlsart Symbol Befehl Erlauterung

Abfrage mit U UND-Verkniipfung mit Operand xx: Q"^^ = Q" & xx \


logischer H^ 1 XX

Verkniipfung UN XX UND-Verkniipfung mit neg. Operand xx: Q"^^ = Q" &xx \


0 XX ODER-Verkniipfung mit Operand xx: Q"^'^ =Q" v xx \
ON XX ODER-Verknupfung mit neg. Operand 3cx: Q"^^ = Q" v 3cx
Operanden xx: Eingange E, Ausgange A, Merker M,
Zeitgeber T und Zahler Z

Klammer- UND-Verkniipfung mit Klammerinhalt


befehle 0( ODER-Verkniipfung mit Klammerinhalt
) Befehl „Klammer zu"

Zuweisung [ [-XX XX Ergebnis dem Operand xx zuweisen: xx = Q" '\


XX ( Operanden:
/"Ausgange A
Setzen S
s XX Operand xx auf 1 setzen, falls Q" = 1 I Merker M
Riicksetzen R Q R XX Operand xx auf 0 riicksetzen, falls g" = 1

Zeitgeber- Tx L KDx Laden Zeitwert x in Dezisekunden


befehle L KSx in Sekunden
S
L KMx in Minuten
TW
S Tx Starten Zeitgeber Tx mit geladener Zeit, falls Q" = 0-^1 1
R Q
R Tx Zeitgeber Tx riicksetzen, falls Q" = \
Tx = 1 bei „Zeit lauft"; Tx = 0 bei „Zeit abgelaufen"
Zx
Zahler- L Kx Zahlervorwahlwert x in den Akkumulator laden
befehle zv S Zx Zahler starten mit geladenem Zahlwert, falls Q" = 0-^1
ZR
ZR Zx Zahlerstand von Zx erniedrigen, falls 2" = 0->l
S DU ZV Zx Zahlerstand von Zx erhohen, falls Q" = 0-^1
ZW DE R Zx Zahler Zx riicksetzen auf Null, falls Q" = 1
R Q Zx = 1 bei Zahlerstand ^ 0; Zx = 0 bei Zahlerstand = 0

Daten- L XX Operandenwort xx in Akkumulator laden


transfer T XX Inhalt des Akkumulators zum Operanden xx transferieren
Operanden xx: Bytes und Worte (16 Bits) von Eingangen
(EBx, EWx\ Ausgangen {ABx, AWx\ Merkern {MBx, MWx\
Zahlern (Zx), Zeitgebern {Tx), Konstanten (^x)

Organisa- SP aa Nicht bedingter Sprung nach Adresse aa


tionsbefehle SPBaa Bedingter Sprung nach aa, falls g" = 1
PE Programmende, Sprung nach Adresse 0000
NOP Wirkungsloser FuUbefehl (Null-Operation)

\Q"^^ binares Verknupfungsergebnis; Q" vc rangehendes Verkniipfungsergebnis.


Beim ersten Befehl einer Verkniipfungsfunl ction („Erstabfrage") entfallt die Verkniipfungsoperation, der
Befehl wirks 2lis reine Abfrage.
15.4 Programmierung einfacher Steuerungsfunktionen 557

Tabelle 15-3. Darstellungsarten fur Programme am Beispiel der UND-Funktion A0.4 = E0J8L EO.2.

Anweisungsliste (AWL) Funktionsplan (FUP) Kontaktplan (KOP)

Adresse Anweisung
E0.1—I E0.1 EO.2 A0.4
0000 U EOJ
EO.2 -A0.4 -3 E—3/E- < y
0001 UN EO.2
0002 = A0.4

Tabelle 15-4. Symbole des Konktaktplans.


die einzelnen Funktionen Blocksymbole in An-
Strom- Kontaktplan Erlauterung
lauf- lehnung an die Symbole der Digitaltechnik, wo-
plan bei an die entsprechenden Ein- und Ausgange
des Symbols die durch die Funktion verknupf-
E0.1 Schliefierkontakt zur ten Operanden angeschrieben werden.
—3 E— Darstellung des
^ Operanden EO.i Kontaktplan

Offnerkontakt zur Die Kontaktplandarstellung lehnt sich an die


EO.2
Darstellung des Darstellung eines Steuerungsproblems in Form
negierten Operanden eines elektrischen Stromlaufplans aus Schaltern
\ E0.2 und Relais an. Die Bedeutung der Symbole ist
in der Tabelle 15-4 dargestellt.
Symbol fur Relaisspule
Die Symbole des Kontaktplans eignen sich un-
A0.4 zur Darstellung des
Operanden, der durch mittelbar nur zur Darstellung von Verkniip-
^ die Operation beein- fungsfunktionen. Fiir hohere Funktionen mus-
fluBt wird sen Blocksymbole ahnlich denen des Funk-
tionsplans verwendet werden.
Die Art der logischen Verkniipfung der Operanden
kommt durch die Anordnung der Kontakte zum
Ausdruck: 15.4 Programmierung einfacher
Reihenschaltung entspricht UND-Verknupfung
Parallelschaltung entspricht ODER-Verkniipfung Steuerungsfunktionen
15.4.1 Steuerungen mit Verkniipfungs-
namlich als Anweisungsliste (AWL), als Funk- funktionen
tionsplan (FUP) Oder als Kontaktplan (KOP).
Tabelle 15-3 zeigt die drei Darstellungsarten am In einfachen Verknupfungssteuerungen erzeugt
Beispiel einer UND-Funktion. die Steuerung die binaren Ausgangswerte bzw.
die zugehorigen Ausgangssignale durch logische
Anweisungsliste Verkniipfung der zu den Eingangssignalen geho-
rigen binaren Eingangswerte.
Die Anweisungsliste enthalt die Befehle in mne-
monischer Form als Abkiirzung der Befehlswir-
15.4.1.1 ODER-Funktion, UND-Funktion
kung. Diese Darstellung kommt der internen
Befehlsdarstellung im Maschinenkode am und Negation
nachsten und ist deshalb auch am universell- In diesem einfuhrenden Beispiel soil zunachst
sten. Sie entspricht der liblichen Darstellung das grundsatzliche Vorgehen bei der Realisie-
von Rechnerprogrammen und orientiert sich an rung einer Steuerungsaufgabe mit einer SPS ge-
der schaltalgebraischen bzw. mathematischen zeigt werden.
Darstellung einer Funktion.
Beispiel
Funktionsplan 15.4-1: Temperaturiiberwachung
Die Funktionsplandarstellung verwendet fiir Zur Temperaturiiberwachung einer Halle ist eine
558 15 Speicherprogrammierbare Steuerungen

Steuerung mit folgenden Teilfunktionen zu verwirk- - Zuordnung der Steuerorgane (Schalter, Motor-
lichen: schiitz, Lampen) zu den Ein- und Ausgangen der
Liiftersteuerung (ODER-Funktion): SPS;
- Zuordnung der binaren Werte 0 und 1 an den Ein-
Solange wenigstens einer der drei Temperaturschalter und Ausgangen der SPS zu den Schaltzustanden
BO oder Bl oder B2 bei zu hoher Temperatur an- der Steuerorgane;
spricht, soil ein Liifter iiber ein Schiitz eingeschaltet - Ermittlung der von der SPS fiir die gewiinschten
sein. Steuerungsfunktionen zu reahsierenden Schalt-
Warnlampe (UND-Funktion): funktionen;
Solange alle drei Temperaturschalter BO und Bl und - Erstellung des SPS-Programms.
B2 ansprechen, soil eine rote Warnlampe HI auf- In Tabelle 15-5 sind diese Losungsschritte dargestellt.
leuchten. In Tabelle 15-6 ist das zugehorige SPS-Programm als
AnweisungsHste, Funktionsplan und Kontaktplan
Betriebslampe (Negation):
angegeben.
Die griine Betriebslampe H2 soil leuchten, wenn die
Warnlampe HI nicht leuchtet und umgekehrt. 15.4.1.2 Disjunktive und konjunktive
Losung: Schaltfunktionen
Zur Losung dieser Aufgabe sind folgende Schritte Jedes Steuerungsproblem mit logischen Ver-
erforderlich: kniipfungen laBt sich mit einer disjunktiven
oder konjunktiven Schaltfunktion darstellen.
Tabellel5-5. Schritte zur Erstellung des SPS- Die Programmierung derartiger Schaltfunktio-
Programms. nen soil an zwei Beispielen ohne die Darstellung
eines konkreten Steuerungsproblems gezeigt
1. Zuordnung der Steuerorgane zu den Klemmen werden.
der SPS (AnschluBbelegung)
Disjunktive Schaltfunktion (UND- vor ODER-
Verkniipfung)

A0.0= EO.O & EO.l V E0.2&E0.3 (15-1 a)


1 V BO EO.O
I SPS
AO.O
ra Liiftermotor-
schiitz
= (EO.O & EO.l) V (E0.2&E0.3) (15-lb)

E0.1

V B2 \E0.2
I
A0.1

A0.2
p Warnlampe
HI
Betriebs-
Konjunktive Schaltfunktion (ODER- vor U N D -
Verkniipfung)

AO.l = (EO.O V E0.1)&(E0.2 v E0.3) (15-2)


^ lampe H2
In der Schaltalgebra hat die UND-Funktion
immer Vorrang vor der ODER-Funktion. In
2. Zuordnung der binaren Werte zu den Schalt-
Gl. (15-1 a) miissen deshalb, wie in Gl. (15-lb)
zustanden
durch die Klammern zum Ausdruck gebracht
Schaltzustand Binarer Wert an SPS wird, zuerst die beiden UND-Terme ermittelt
Schalter BO ein (aus) EO.O = 1 (0) und dann deren Ergebnisse durch die ODER-
Schalter Bl ein (aus) EO.O = 1 (0) Funktion verkniipft werden. Bei der Program-
Schalter B2 ein (aus) E0.2 = 1 (0) mierung in der AnweisungsHste mussen die in
Liifter ein (aus) AO.O = 1 (0) Gl. (15-lb) und (15-2) angegebenen Klammer-
Warnlampe HI ein (aus) AO.l = 1 (0) operationen vorgesehen werden. Verfugt eine
Betriebslampe H2 ein (aus) A0.2 = 1 (0) SPS nicht iiber die Klammeroperationen, dann
mussen zuerst die Klammerausdriicke berech-
3. Schaltfunktionen: net, in Merkern zwischengespeichert und an-
schHeBend verkniipft werden.
Liifter: AO.O = EO.O vEO.lv E0.2
(ODER-Funktion) Die Tabelle 15-7 enthalt das zugehorige SPS-
LampeHl: AO.l = EO.O & EO.l & E0.2 Programm als AnweisungsHste, Funktions- und
(UND-Funktion) Kontaktplan. In der AnweisungsHste konnte in
Lampe H2: A0.2 = AO.l (Negation) jeder Schaltfunktion jeweils die erste Klammer
entfaUen, da durch die Reihenfolge der Opera-
15.4 Programmierung einfacher Steuerungsfunktionen 559

Tabelle 15-6. SPS-Programm fur Temperaturuberwachung.

Anweisungsliste

Adresse Befehl Erlauterung

0000 O EO.O Abfrage von Eingang EO.O: Q"^^ =E0.0 (Erstabfrage)


0001 O EO.l ODER-Verkniipfung: Qn+l = Qny EO.l = EO.O V EO.l
0002 O E0.2 ODER-Verkniipfung: Q"^^ = EO.O w EO.l y EO.l
0003 = AO.O Ergebnisausgabe: AO.O = e " = EO.O V EO.l V E0.2

0004 U EO.O Abfrage von Eingang EO.O: Q"^' • EO.O (Erstabfrage)


0005 U EO.l UND-Verknupfung: Q"^' : EO.O & EO.l
0006 U EO.l Q"^' = EO.O & EO.l & EO.l
0007 = AO.l AO.l = Q" = EO.O & EO.l & EO.l

0008 UN AO.l Abfrage: Q"^^ = A0.1 (Erstabfrage)


0009 = AO.l AO.l = Q" = AO.l
0010 PE Programmende; Riicksprung zum Anfang

Funktionsplan Kontaktplan

EO.O AO.O
EO.O
E0.1-\ >1 - ( >-
\—A0.0 EO.l
EO.l A
-3 ^

E0.0-\ EO.l
EO.l-A EO.l E0.2 A01
EO.l A \—A01 -^ E- -{ y-
EO.O
AO.l-<rT^ AO.l A0.2
AO.l

Tabelle 15-7. SPS-Programm fiir disjunktive und konjunktive Schaltfunktion.

Anweisungsliste Funktionsplan Kontaktplan

0(
U EO.O EO.O — EO.O E0.1 AO.O
U EO.l & ^ E- -< y-
EO.l — ^1
)
0( EO.l — EO.l E0.3
U EO.l &
E0.3 — \—A0.0 ^ E- ^ E-
U E0.3
)
= AO.O
U(
O EO.O E0.0—\ EO.O EO.l A0.1
O EO.l >\
£0.7—1 •^ E- -( y
) &
U( E0.2—\ EO.l E0.3
O EO.l >^
E0.3 -A0.1 -a E- -a E-
O E0.3
)
= AO.l
560 15 Speicherprogrammierbare Steuerungen

Tabelle 15-8. SPS-Programm fur die RS-Speicherfunktion.


Funktionssymbol Funktionsplan Kontaktplan
fur i^^-Flip-Flop

A0.1 A0.1
E0.1
h-AO.i E0.1 S -a
E0.2
E0.2— R Q\ -A0.1 -9 ^-\R

Anweisungsliste

Befehl Wirkung

U EOJ Wenn EOJ = 1, dann Ausgang AO.l auf den Wert AO.l = 1 setzen; ) Setz-
S AO.l bei EO.l = 0 bleibt AO.l unverandert. j funktion

U E0.2 Wenn E0.2 = 1, dann Ausgang AO.l auf den Wert AO.l = 0 zuriick- ] Rucksetz-
R AO.l setzen; bei E0.2 — 0 bleibt AO.l unverandert. J funktion

tionen jeweils auch ohne Klammeroperation Beispiel


automatisch der erste Klammerausdruck gebil- 15.4-2: Ein-IAus-Steuerung
det wird.
Mit einem 1-Wert am Eingang EO.l soil ein Verbrau-
cher am Ausgang AO.l einer SPS eingeschaltet wer-
15.4.2 Speicherfunktion den. Mit einem 1-Wert am Eingang E0.2 soil er ausge-
schaltet werden konnen.
Ein RS-Speicher-Flip-Flop gemaB Bild 15-9
dient als Digitalschaltung zum Speichern eines Losung:
binaren Wertes, beispielsweise des Zustandes
In der Tabelle 15-8 ist das benotigte Programm fiir die
„Ein" Oder „Aus" fur ein Steuerorgan. Es ver- SPS dargestellt.
fugt iiber folgende Funktionen:
Wenn gleichzeitig mit EO.l = E0.2 = / Setz- und
Setzen: Mit S = 1 und R = 0 wird der Spei- Riicksetzbefehl auftreten, dann hangt die Wirkung in
cher auf Q = 1 gesetzt. der SPS von der Reihenfolge der Funktionen im Pro-
Riicksetzen: Mit 5 = 0 und i^ = 1 wird der Spei- gramm ab. Wegen der Wirkung des ProzeBabbildes
wirkt sich dann immer nur die an zweiter Stelle pro-
cher auf 2 = 0 zuriickgesetzt. grammierte Funktion aus. Im Beispiel dominiert also
Speichern: Bei S=R = 0 wird der zuletzt er- die Riicksetzfunktion. Soil die Setzfunktion dominie-
zeugte Wert Q gespeichert. ren, dann ist die Reihenfolge von Setzen und Ruck-
setzen zu vertauschen, d.h., im Kontakt- und Funk-
tionsplan ist der R- und 5'-Eingang zu vertauschen.
Qr-

—\R 15.4.3 Auswertung von Signalflanken


Die Auswertung auf Signalflanken ist notwen-
Bild 15-9. RS-Speicher-Flip-Flop.
dig, wenn der statische Zustand eines Signals
Zur Realisierung der Funktion eines /?5'-Flip- wirkungslos und nur z. B. der 0/1-Wechsel des
Flops mit einer SPS dienen die beiden beding- Signals wirksam sein soil. In Bild 15-10 ist das
ten Befehle zum Setzen (5...) bzw. Riicksetzen Funktionssymbol mit den zugehorigen Signal-
{R...)einesMerkersoder Ausgangs. Diesebei- verlaufen fur eine derartige Auswertung auf
den Befehle haben nur dann eine Wirkung, 0/1-Signalflanken am Eingang EO.l dargestellt.
wenn das vorangehende Verknupfungs- bzw. Beim Auftreten einer 0/1-Flanke an EO.l soil
Abfrageergebnis den Wert 1 hatte. im Impulsmerker M0.2 ein Auswerteimpuls
15.4 Programmierung einfacher Steuerungsfunktionen 561

EO.l im Flankenmerker MO.l fur die Auswer-


EO.1^ > ^ —M0.2 tung im nachsten Zyklus.
M0.1 M0.2
Beispiel
Flanken- Impuls-
merker merker 15.4-3: Ein-Aus-Steuerung mit einem Taster (Funk-
tion eines T-Flipflops)
E0.1
Bei jeder Betatigung eines Tasters und damit bei jeder
1
0
0/1-Flanke am Eingang EO.l einer SPS soil ein Ver-
braucher am Ausgang AO.l ein- bzw. ausgeschaltet
M0.2
und damit sein Zustand invertiert werden. Merker
1 - MO.l diene als Flankenmerker, MO.2 als Impuls-
n ^~
merker.
Zyklusdauer T^
Bild 15-10. Auswertung einer Ojl-Signalflanke. Losung:
In Tabelle 15-9 ist die Anweisungsliste fiir das beno-
MO.2 = 1 fur eine Zyklusdauer Tz erzeugt wer- tigte Programm dargestellt.
den. Sonst ist M0.2 = 0.
Zur Ermittlung einer 0/1-Flanke am Eingang 15.4.4 Zeitgeberfunktion
EO.l ist in jedem Zyklus zu priifen, ob EO.l = 1 Zur Bildung von Zeiten stehen in einer SPS
ist und ob im vorangehenden Zyklus EO.l = 0 programmierbare Zeitgeber Ti zur Verfiigung,
war. Mit dem Merker MO.l als sogenanntem die im einfachsten Fall die Funktion einer mo-
Flankenmerker fur den jeweils vorangehenden nostabilen Kippschaltung zur Erzeugung eines
Wert von EO.l kann die Flankenabfrage durch 1-Impulses der programmierbaren Dauer t er-
die Programmierung der beiden folgenden moglichen. In Bild 15-11 ist das Funktions-
Schaltfunktionen in der SPS realisiert werden: symbol fur einen derartigen Zeitgeber (Timer)
Tl mit dem zugehorigen Zeitverlauf des Ein-
M0.2 = EO.l &L MO.l
gangswertes EO.l und des Ausgangswertes AO.l
MO.l = EO.l. dargestellt.
Die erste Funktion dient zur Erzeugung des
Auswerteimpulses im Impulsmerker MO.2 beim Wirkungsweise und Programmierung
Auftreten einer 0/1-Flanke an EO.l, die zweite Star ten einer Zeit: Wenn das Verkniipfungser-
Funktion zum Abspeichern des Wertes von gebnis am Eingang S einen 0/1-Wechsel liefert,

Tabelle 15-9. SPS-Programm fiir Ein-Aus-Steuerung.

Anweisungsliste

Befehl Wirkung

U EO.l Wenn EO.l = \


UN MO.l und MO.l = 0 0/1-Flankenabfrage bei EO.l
M0.2 dann MO.2= 1, sonst MO.2 --0
U EO.l Nachfiihren des Flankenmerkers mit MO.l = EO.l
= MO.l
U AO.l Zwischenspeichern des bisherigen Wertes AO.l
= M0.3 im Merker M0.3
U M0.2 Wenn 0/1-Flanke bei EO.l und bisher AO.l bzw.
Invertieren von AO.l,
U M0.3 MO.3 = 1 war, dann Ausgang rucksetzen auf
wenn 0/1-Wechsel
R AO.l AO.l =0
an EO.l vorliegt
U M0.2 Wenn 0/1-Flanke bei EO.l und bisher AO.l
UN M0.3 bzw. M0.3 = 0 war, dann Ausgang setzen
S AO.l a u f ^ a / = l.
562 15 Speicherprogrammierbare Steuerungen

Tabelle 15-10. Programmierung der Zeitgeberfunktion fur tl = 10 s.


Anweisungsliste Funktionsplan Kontaktplan

Befehl Wirkung Tl Tl
EO.l
U EO.l Wennan£'OJ = 0/l, EO.l S S
L KDIOO dann ^/ = 10 s laden
S Tl und Timer Tl starten KDIOO TW KDIOO TW
U E0.2 Wenn£'(?.2=l,dann AO.l EO.l AO.l
R Tl Timer Tl riicksetzen E0.2 R Q R Q
U Tl Wenn Zeit lauft, dann
= AO.l AO.l = 1, sonst AO.l = 0

T1
Beispiel
EO.l- s 15.4-4: Impulsgenerator fur eine Blinklampe
u- TW Fiir eine Blinklampe ist ein Impulsgenerator aus zwei
R Q —AO.l Zeitgebern Tl und T2 gemaB Bild 15-12 zu realisie-
ren. Der Generator soil am Ausgang AO.l eine Im-
pulsfolge mit der Impulspausenzeit ^^ = 1 s und Im-
S^EOI pulsdauer ^2 = 2 s liefern.
1 h
0 ^ Zeit- Zeit-
T1 = A0.1 geber geber -A0.1
T1 T2
1
0
Impulsdauer f^
fi = 1s

n
Bild 15-11. Zeitgebersymbol mit Zeitverhalten. Tl

dann wird der Zeitgeber Tl mit der am Zeit-


werteingang TW anliegenden Zeit t^ mit dem I f2 = 2s I
A0.1 = T2
Ladebefehl L K . . . geladen und mit dem Setzbe- 1
fehl STl gestartet. Die Zeit t^ kann in Dezi- JI:
sekunden (KD...) oder Sekunden (KS ...) Bild 15-12. Impulsgenerator mit zwei Zeitgebern.
Oder Minuten (KM...) angegeben werden.
Tritt wahrend des Ablaufs der Zeit t^ erneut Losung:
eine 0/1-Flanke am Eingang S auf, dann wird Die zwei Zeitgeber Tl und T2 der SPS miissen sich
die Zeit t^ erneut gestartet. Der Zeitgeber ist gegenseitig jeweils beim Ablauf ihrer Zeit starten.
also nachtriggerbar. In Tabelle 15-11 ist die Programmierung dargestellt.
Hierbei wurde der Kontaktplan nicht angegeben, da
Abfrage aufAblaufder Zeit: Solange die Zeit t^ er sich nicht prinzipiell vom Funktionsplan unter-
im Zeitgeber ablauft, hat die binare Ausgangs- scheidet. Falls die Programmiereinrichtung des jewei-
variable Tl am Ausgang Q den Wert Tl = 1, ligen Herstellers das direkte Anfiigen des Zeitgebers
nach Ablauf der Zeit den Wert Tl = 0. T2 an den Zeitgeber Tl im Funktions- bzw. Kontakt-
Zeitgeber Riicksetzen: Liefert die Riicksetzbe- plan nicht gestattet, miissen die beiden Zeitgeber ge-
trennt nacheinander eingegeben werden.
dingung am Eingang R den Wert 1, dann wird
der Zeitgeber Tl mit dem bedingten Riicksetz-
befehl RTl auf Tl = 0 zuriickgesetzt und der 15.4.5 Zahler
Zeitablauf abgebrochen. Fiir Zahlaufgaben stehen in einer SPS pro-
In Tabelle 15-10 ist die Programmierung dieser grammierbare Zahlerfunktionsgheder zur Ver-
Zeitgeberfunktion fur den Zeitgeber (Timer) Tl fiigung. In Bild 15-13 ist das Funktionssymbol
und die Zeitdauer /^ = 100 Dezisekunden dar- fiir einen Vor-/Ruckwartszahler Z2 mit folgen-
gestellt. den Funktionen dargestellt:
15.4 Programmierung einfacher Steuerungsfunktionen 563

Tabelle 15-11. SPS-Programm fiir den Impuls- Beispiel


generator. 15.4-5: Vorwahlzdhler fur For der bands teuerung
Wenn eine Taste BO am Eingang EO.O der SPS betatigt
Anweisungsliste wird, soil ein Forderbandmotor am Ausgang AO.O
der SPS eingeschaltet werden. Er soil solange einge-
Befehl Wirkung
schaltet bleiben, bis 10 Telle auf dem Band eine Licht-
UN T2 Wenn T2 beim Ablauf von t2 eine schranke Bl (Eingang EO.l) passiert haben. Durch
L KDIO 1/0-Flanke liefert, dann Tl mit Betatigung der Taste B2 an Eingang E0.2 soil der
Vorgang abgebrochen, d. h. der Vorwahlzahler zu-
S Tl tl = \s starten.
riickgesetzt werden.
UN Tl Wenn Tl beim Ablauf von tl
L KD20 eine 1/0-Flanke liefert, dann T2 L5sung:
S T2 mit r2 = 2 s starten.
Das SPS-Programm fiir den Vorwahlzahler ist in
U T2 Tabelle 15-12 mit Anweisungsliste und Funktions-
= AO.l Ausgabe AO.l = T2 plan dargestellt.
Funktionsplan
15.4.6 Realisierung von Ablaufsteuerungen
r/
T2- \s Eine Ablaufsteuerung dient zur Steuerung eines
technologischen Ablaufs, der sich aus mehreren
KD 10- \TW T2
zwangsweise aufeinander folgenden ProzeB-
\R Q S oder Ablaufschritten zusammensetzt. Das Wei-
terschalten zum jeweils nachsten Schritt erfolgt
KL:>20— TW
abhangig von Weiterschaltbedingungen, die aus
R Q\\—A0.1 Zeitbedingungen oder prozefiabhdngigen Grofien
gewonnen werden.

Setzen des Zdhlers: Wenn am Setzeingang S das Beispiel


Verkniipfungsergebnis einen 0/1-Wechsel durch-
15.4-6: Ablaufsteuerung fur eine Abfiilleinrichtung
fuhrt, dann wird der Zahler mit dem am ZdhU Die in Bild 15-14 dargestellte Abfiilleinrichtung ist
werteingang ZW anliegenden Zahlenwert als nach dem angegebenen Ablaufdiagramm zu steuern.
Vorwahlwert geladen. Ein AbfuUvorgang setzt sich gemaB dem Ablaufdia-
Zdhlen: Bin 0/1-Wechsel am Vorwdrtszdhlein- gramm in Bild 15-14 aus folgenden Schritten Si zu-
gang ZV bzw. am Ruckwdrtszdhleingang ZR sammen:
erhoht bzw. erniedrigt den Zahlerstand um Ventil V I
eins.
Abfragen des Zdhlers: Am bindren Ausgang Q •N2
steht die bindre Zdhlervariable Z2 mit folgender
Niveau-
lU-
Bedeutung zur Verfiigung: Beim Zahlerstand

—U=-
geber
null ist Z2 = 0, beim Zahlerstand ungleich null
ist Z2 = 1. N1

[-AAAA-| Ventil V2
An den Ausgangen DU und DE steht der Zah-
Heizung
lerstand als Dualzahl (DU) oder als BCD-Zahl
(DE) zur Verfiigung.
Z2 Vorgang Fijllen Heizen . Leeren
Schritt SO so
I I '
N2

N1

Bild 15-14. Abfiilleinrichtung mit Ablaufdiagramm


Bild 15-13. Funktionssymbol fur Zdhler. (Niveauverlauf).
564 15 Speicherprogrammierbare Steuerungen

Tabelle 15-12. SPS-Programm fur Vorwahlzahler.

Anweisungsliste Funktionsplan

Befehl Wirkung
Z2
U EO.O Wenn 0/1-Flanke an EO.O, dann
L KIO Zahler Z2 mit Vorwahlwert 10 \zv
S Z2 laden. \zR
U EOJ Wenn 0/1-Flanke an EO.i, dann E0.0-\ s DU
ZR Z2 Zahler stand erniedrigen.
\zw DE
U £0.2 Wenn E0.2 = 1, dann Zahler Z2
R Z2 auf null riicksetzen. E0.2-\ R Q \-A0.0

U Z2 Wenn Zahlerstand ungleich 0,


AO.O dann AO.O = 1, sonst AO.O = 0.

Tabelle 15-13. Funktionsplansymbole fur Ablaufsteuerungen.


Schrittsymbol

Das Schrittsymbol dient zur Darstellung eines bestimmten Ablaufschrittes n mit


folgenden Angaben:
•E2 n: Nummer des Ablaufschrittes.
El I—E3
XXX: Kennwort zur naheren Kennzeichnung des Schrittes.
El, E2, E3: Uber UND-Funktion verkniipfte Eingange zur Darstellung der
Weiterschalt- bzw. Setzbedingung des Schrittes.
A: Ausgang.
Wirkungsweise: Wenn die Weiterschaltbedingung mit El = E2 = E3 = 1 erfullt
ist, dann wird der Schritt n auf ^ = 1 gesetzt und der vorangehende Schritt n — 1
zuriickgesetzt, d. h. die Steuerung geht vom Schritt n — 1 in den Schritt n iiber.
Solange der Schritt n gesetzt ist, liegt am Ausgang A = 1, sonst A = 0.

Befehlssymbol

^;_J NS >AaO; Ventil VI ein Mit dem Befehlssymbol wird die Wirkung der Steuerung
iiber die Stellglieder auf den ProzeB oder auf sich selbst
^ dargestellt.

Die drei Felder des Symbols dienen zum Eintrag folgender Informationen:
Feld 2: Hier wird die Befehlswirkung eingetragen. Der Befehl wird mit der in Feld 1 angegebenen
Zeitbedingung wirksam (AO.O = 1), solange der Eingangswert El = 1 ist.
Feld 1: Dieses Feld ermoglicht die Kennzeichnung der zeitlichen Wirkung des Befehls in folgender
Weise:
NS = nicht gespeichert, d. h. der Befehl ist nur wirksam, solange El = 1 ist.
S = gespeichert: Sobald El = 1 ist, wird der Befehl gespeichert wirksam. Er bleibt unab-
hangig von El solange wirksam, bis er gespeichert zuriickgesetzt wird.
NSD = nicht gespeichert, aber verzogert: Wenn El = 1 wird, dann wird der Befehl nach einer
zusatzlichen Verzogerungszeit wirksam. Mit El = 0 wird er sofort wirkungslos.
Feld 3: Hier kann eine Kennziffer eingetragen werden fur eine nicht gezeichnete WirkungsHnie, die aus
dem Befehlsfeld austritt und die Befehlswirkung tragt.
15.5 Programmierung mit Software-Bausteinen 565

- SO: Warten in Grundstellung, bis die Starttaste BO


BOH EO.O A0.0\—Heizung
betatigt wird; SPS
- SI: Fiillen uber Ventil VI, bis Niveau N2 {B2 = 1) Bl—I E01 A01 h-Ventil VI
erreicht ist;
A0.2 kventil V2
- S2: Heizen, bis die Heizzeit tl = 50 s abgelaufen B2 E0.2
ist;
- S3: Leeren iiber Ventil V2, bis das Niveau Nl Bild 15-16. SPS-Anschlufibelegung fur die Abfull-
unterschritten (Bl = 0) ist. steuerung.

Losung: Die Darstellung der Ablaufschritte, d. h. das


Als Grundlage fiir die Realisierung dient zweckmaBi- Speichern der Stellung im Steuerungsablauf, er-
gerweise eine grafische Darstellung des Steuerungs- folgt in der Weise, daB jedem Ablaufschritt Si
ablaufs. Der Steuerungsablauf derartiger Ablaufsteue- (i = 0,1, 2, 3) ein Merker (hier MLi) als Schritt-
rungen laBt sich sehr iibersichtlich grafisch im Funk- merker zugeordnet wird mit folgender Bedeu-
tionsplan nach DIN 40719 Teil 16 darstellen. Hierbei tung: Fiir Ml.i=\ steht die Steuerung im
werden einerseits die Symbole fiir logische Verknup- Schritt Si, fiir Ml.i = 0 steht sie nicht in Schritt
fungen mit geringfiigigen Erweiterungen und anderer- Si (/ = 0 ... 3).
seits zusatzliche Symbole zur Darstellung von Ablauf-
schritten und Befehlswirkungen verwendet. Diese Im SPS-Programm wird zweckmaBigerweise in
Symbole sind in Tabelle 15-13 erlautert. einem ersten Teil fiir jeden Ablaufschritt das
Setzen und RUcksetzen der Schrittmerker ab-
Der Funktionsplan fur den Steuerungsablauf hangig von der jeweiligen V^eiterschaltbedin-
der Abfulleinrichtung ist in Bild 15-15 darge- gung und in einem zweiten Teil das Erzeugen
stellt. Hierbei wurde die SPS-AnschluBbele- der Befehlsausgaben programmiert. In Tabelle
gung von Bild 15-16 zugrundegelegt. Als Zeit- 15-14 ist das zugehorige Programm dargestellt.
geber dient Tl.
Bei der Realisierung der Ablaufsteuerung mit
der SPS miissen einerseits die Ablaufschritte 15.5 Programmierung mit
dargestellt und andererseits die Befehlsausga- Software-Bausteinen
ben erzeugt werden.
-E0.1 ; Niveau Nl unterschritten
Bei einfacheren speicherprogrammierbaren
Steuerungen wird das Anwenderprogramm in
-SI > keiner der Schritte gesetzt jedem Programmzyklus vom Anfang bis zum
-S£ Ende im Prinzip linear durchlaufen. Im Gegen-
-S3 , satz dazu bieten komfortable Steuerungen die
mra>^
Moglichkeit der strukturierten Programmie-
rung mit Software-Bausteinen. Hier kann das
1
Anwenderprogramm in Teilprogramme zerlegt
so und mit verschiedenen Bausteinen program-
Warten miert werden, wodurch eine iibersichtliche Glie-
derung und Ablaufstruktur des Anwenderpro-

SI
r -EO.O ; Starttaste BO betatigt

NS A0.1 ; Ventil VI ein


gramms entsteht (Bild 15-17).
Es gibt folgende Arten von Software-Baustei-
FiJilen nen:
- Organisationsbausteine (OB)
S2
r -EO. 2 ; Niveau N2 erreicht

NS AO.O; Heizungein
Mit ihnen wird die Ablaufstruktur festgelegt,
d.h. die Reihenfolge, in der die einzelnen
Heizen NSD Wartezeit fi = 50s 1 Software-Bausteine in jedem Programmzy-
klus bearbeitet werden.

S3
r - 1 ; Wartezeit t^ = 50 s abgelaufen

NS A0.2; Ventil V2 ein


- Programmbausteine (PB)
Ein Programmbaustein enthalt vom Anwen-
Leeren derprogramm einen in sich geschlossenen
Teil zur Reahsierung einer technologischen
Bild 15-15. Funktionsplan fiir den Steuerungsablauf.Teilaufgabe, wie die Steuerung eines Anla-
566 15 Speicherprogrammierbare Steuerungen

Tabelle 15-14. SPS-Programm fiir die Abfull- OB 1 FB

c
PB
steuerung.
Befehl Wirkung

Weiterschalten nach Schritt SO


UN Ml.O Priifung, ob Einschalten
UN Ml J der Steuerung vorliegt, FB
UN M1.2 d. h. ob alle Schritte SO . .. S3
UN M1.3 zuriickgesetzt sind.
= MO.O MO.O = 1 beim Einschalten,
sonst MO.O = 0.
U Ml.3 Wenn Schritt S3 gesetzt Ebene 1
UN EO.l und Niveau Nl unterschritten ist
0 MO.O Oder Einschalten vorliegt, Bild 15-17. Programm- und Ablaufstruktur bei der
S Ml.O dann Schritt SO bzw. Merker MO.O Programmierung mit Software-Bausteinen.
setzen
R M1.3 und Schritt S3 riicksetzen.
spezielle Funktionen programmieren und de-
Weiterschalten nach Schritt SI
fmieren, die im Befehlsvorrat der Steuerung
U Ml.O Wenn Schritt SO gesetzt nicht vorhanden sind, z.B. Schieberegister,
U EO.O und Starttaste BO betatigt ist, Schrittketten fur Ablaufsteuerungen oder
' S Ml.l Schritt SI setzen
R Ml.O und Schritt SO riicksetzen. Zeitiiberwachungsfunktionen.
- Datenbausteine (DB)
Weiterschalten nach Schritt S2 In Datenbausteinen konnen Daten program-
U Ml.l Wenn Schritt SI gesetzt miert und bereitgehalten werden, die bei der
U E0.2 und Niveau N2 erreicht ist. Abarbeitung des Anwenderprogramms auf-
S M1.2 dann Schritt S2 setzen gerufen oder verandert werden konnen, z. B.
R Ml.l und Schritt SI riicksetzen. Zahlenwerte oder alphanumerische Zeichen
U M1.2 Wenn S2 gesetzt wird, fur Meldetexte.
LKS50 dann Zeit ^/ = 50 s laden
S Tl und Timer Tl starten. Die Organisationsbausteine werden vom Be-
triebssystem der Steuerung aufgerufen. Die an-
Weiterschalten nach Schritt S3 deren Bausteine werden wie Unterprogramme
U M1.2 Wenn Schritt S2 gesetzt und von Organisations- oder anderen Bausteinen
UN Tl die Zeit ^y = 50 s in Tl abgelau- aufgerufen.
fen ist, Die Gliederung des Anwenderprogramms in
S M1.3 dann Schritt S3 setzen Bausteine bringt folgende Vorteile:
R M1.2 und Schritt S2 rucksetzen. - Ubersichtliche Struktur des Programms;
Befehlsausgaben - einzelne Programmteile sind in sich geschlos-
sen und leicht zu testen;
0 Ml.l Wenn Schritt SI gesetzt ist,
- kiirzere Zykluszeiten als bei linearer Pro-
= AO.l dann Ventil VI einschalten.
0 M1.2 Wenn S2 gesetzt ist,
grammierung, da ein Baustein nur dann auf-
= AO.O dann Heizung einschalten. gerufen und bearbeitet wird, wenn dies bei
0 M1.3 Wenn S3 gesetzt ist, bestimmten ProzeBereignissen erforderlich
= A0.2 dann Ventil V2 einschalten. ist.
PE Programmende

genteils oder Programmteile fur verschiedene


15.6 Programmiereinrichtungen
Betriebsarten. Zur Kommunikation zwischen Mensch und
Funktionsbausteine (FB) Steuerung dienen Programmiergerate mit fol-
In einem Funktionsbaustein kann der An- genden Bedien- und Programmiermoglichkei-
wender haufig benotigte Programmteile oder ten:
15.6 Programmiereinrichtungen 567

- Bedienerfuhrung; Zur Ubung


- Eingabe, Ausgabe, Test und Andern von
U15-1: Ein Motorschiitz am Ausgang AO.l einer SPS
Steuerprogrammen; soil eingeschaltet werden {AO.l = 1), wenn zwei
- Archivierung von Steuerprogrammen auf ei- Taster Tl und T2 an den Eingangen EOA und E0.2
nem Massenspeicher (Diskette); gleichzeitig betatigt werden. Das Schiitz soil ausge-
- Ubersetzung von Steuerprogrammen in den schaltet werden, wenn wenigstens einer der beiden
Maschinenkode und Ubertragung in den Taster T3 und T4 an den Eingangen E0.3 und EOA
Programmspeicher der Steuerung; betatigt wird.
- Inbetriebnahme einer Steuerung;
- Dokumentation des Programms mit einem ti 15-2: Sofern ein Schalter SI am Eingang EO.l ein-
Drucker. geschaltet ist, soil ein Warntongeber am Ausgang
Fiir diese Aufgaben stehen Programmiergerate A0.2 einer SPS eingeschaltet werden, wenn der Taster
verschiedener Leistungsstufen zur Verfugung. T2 am Eingang E0.2 nicht jeweils innerhalb der Zeit
t^ =20s nach dem letzten Driicken erneut betatigt
Fiir geringe Leistungsanforderungen bieten die
wird. Der Warntongeber soil durch Driicken des
SPS-Hersteller zu ihren Steuerungen spezielle Tasters T3 am Eingang E0.3 wieder ausgeschaltet
kompakte Handprogrammiergerdte mit LCD- werden konnen.
Anzeigen an. Normalerweise werden diese Ce-
rate in der Praxis nur fur die Programmierung
U15-3: Durch Betatigung eines Tasters Tl am Ein-
von Kompaktsteuerungen mit vergleichsweise gang EO.l einer SPS soil das Ventil VI am Ausgang
kurzen und einfachen Programmen in der An- AO.l sofort und das Ventil V2 am Ausgang A0.2 mit
weisungsliste verwendet. einer Verzogerung von r^ = 2 s eingeschaltet werden.
Fiir umfangreichere Programmieraufgaben ste- Durch Betatigung des Tasters T2 am Eingang E0.2
hen herstellerspeziflsche Programmiergerate sollen beide Ventile sofort ausgeschaltet werden.
Oder universelle Tischrechner als komfortable
Programmiereinrichtungen mit Bildschirm, U15-4: Die Belegung eines Parkhauses mit 50 Stell-
Diskettenlaufwerk und Drucker zur Verfiigung. platzen soil mit einer SPS iiberwacht werden. Dazu
Damit ist die Programmmerstellung wahlweise soil bei der Offnung des (leeren) Parkhauses durch
in Anweisungshste, Kontakt- oder Funktions- Betatigung eines Tasters TO am Eingang EO.O der SPS
plan moghch. Diese Geriite bieten auch zusatz- ein Vorwahlzahler auf die Vorwahlzahl 50 entspre-
hche Funktionen zur Unterstiitzung des Aus- chend der Anzahl der zur Verfiigung stehenden Platze
gestellt werden konnen. Die ein- und ausfahrenden
testens der Anwenderprogramme und der Inbe-
Fahrzeuge werden iiber zwei Geber Gl und G2 an
triebnahme einer Steuerung an der gesteuerten den Eingangen EO.l und E0.2 der SPS erfaBt und im
Maschine. Mit dem Diskettenlaufwerk konnen Zahler gezahlt. Jeder von einem einfahrenden Fahr-
die Programme archiviert und mit dem Druk- zeug iiber Gl and EO.l erzeugte 0/1-Wechsel soil den
ker dokumentiert werden. Zahlerstand um 1 erniedrigen. Jeder von einem aus-
Der Trend geht hierbei immer mehr zum univer- fahrenden Fahrzeug iiber G2 an E0.2 erzeugte 0/1-
sellen Personalcomputer, fiir den der jeweilige Wechsel soil den Zahlerstand um 1 erhohen. Wenn
das Parkhaus mit 50 Fahrzeugen voU belegt ist, d. h.,
SPS-Hersteller die erforderliche spezifische Be-
wenn der Zahlerstand null erreicht ist, soil am Aus-
triebssoftware zum Einsatz des Rechners als gang AO.l der SPS ein Stopp-Signal {AO.l = 1) ausge-
Programmiereinrichtung anbietet. geben werden.
568 16 Schnittstellen, Bussysteme und Netze

16 Schnittstellen, Bussysteme gang von Bussystemen und Netzen flieBend ist


(es gibt z. B. auch busformige Netzwerke).
und Netze
16.2 Grundbegriffe
16.1 Einfuhrung der Dateniibertragung
In der Norm sind die Begriffe folgendermaBen Wichtigste Grundlage jeder Kommunikation
definiert: (von Mensch zu Mensch, von Mensch zu Ma-
schine oder von Rechner zu Rechner) ist eine
Schnittstelle:
einheitliche Sprache. In ihr werden die zu iiber-
Verbindungsstelle zweier Systeme. Die beider- mittelnden Zeichen (z. B. Buchstaben oder Zah-
seits einer Schnittstelle liegenden Systeme sind len) so verschliisselt (kodiert), daB sie in einer
iiber Schnittstellenleitungen miteinander ver- fur den Rechner bearbeitbaren Form als Binar-
bunden. Schnittstellen sind charakterisiert zeichen vorliegen. Gangige Kodierungen sind
durch die technischen Beschaffenheiten, die Art beispielsweise der ASCII-Kode und der EBCDI-
der mit der Schnittstellenleitung libertragenen Kode (Abschn. 11.2). Es gibt aber auch andere,
Signale und die Bedeutung der libertragenen Si- bei der Dateniibertragung bzw. Datenfern-
gnale. Standardisierte Schnittstellen erleichtern iibertragungen genormte Kodes, wie beispiels-
den Austausch von kommunizierenden Funk- weise:
tionseinheiten.
- CCITT-Kode Nr. 5 ((IA5), ISO 7-Bit-Kode),
Bus:
- CCITT-Kode ITA2 (Fernschreibkode, 5-Bit-
Verbindungssystem zwischen Teilnehmern (bzw. Kode) oder
Schaltwerken). AUe Datenleitungen des Verbin-
- CCITT-Kode T 61 (Teletex-Kode, 8-Bit-
dungssystems gehen an alle Teilnehmer und
Kode).
werden von alien Teilnehmern gemeinsam ge-
nutzt. Zusatzliche Steuerleitungen dienen der Bei der Datenverarbeitung werden die Kommu-
Verwaltung. nikationspartner eingeteilt in Sender und Emp-
fdnger (Datenquelle und Datensenke). Bei der
Netz:
Datenferniibertragung tauchen zusatzlich noch
Ein lose gekoppeltes System, an dem viele ein- die Begriffe Datenendeinrichtung (DEE oder
zelne, rdumlich getrennte Rechner angeschlos- engl.: DTE: Data Terminal Equipment) und
sen sind. Die Kommunikation erfolgt durch den Datenubertragungseinrichtung (DLFE oder
Austausch von Nachrichten unter Beachtung engl.: DCE: Data Communication Equipment)
bestimmter Regeln (Abschn. 16.5.8). Bild 16-1 auf(Bild 16-2).
veranschaulicht diese Definitionen.
liber eine Schnittstelle (engl.: interface) werden
Informationen zwischen zwei Geraten (z. B.
zwischen Rechner und Drucker) ausgetauscht.
Dabei beschreibt eine Schnittstelle nicht nur die
Zahl der Leitungen und deren Bezeichnung, Rechner Modem Telefonleitung Modem Rechner
sondern mechanische, funktionale und elek-
Bild 16-2. Stationen einer Datenferniibertragung.
trische Eigenschaften der einzelnen Verbindun-
gen. Bei mehr als zwei Teilnehmern spricht man
von Bussystemen oder Netzen. Sind alle Kom- Wie Bild 16-2 zeigt, ist eine Datenendeinrich-
munikationspartner an einem Leitungsbiindel tung (DEE) beispielsweise ein Computer, eine
parallel angeschlossen, dann liegt ein Bus vor, Datenubertragungseinrichtung (DUE) z. B. ein
der kleine Entfernungen (ein paar Meter) mit Modem, mit dem digitale Informationen uber
hohen Ubertragungsgeschwindigkeiten (z. B. die analoge Telefonleitung ausgetauscht wer-
10 Mbit/s) iiberwindet. Sind viele Teilnehmer den. Auf der Empfangsseite stehen wiederum
iiber eine groBe Entfernung miteinander ver- ein Modem als DUE und ein Computer als
bunden, dann hegen Netze vor, wobei der Uber- DEE zur Verfiigung.
16.2 Grundbegriffe der Dateniibertragung 569

a) Schnittstelle
Centronics
SCSI
ESDI
HIPPI
(10m;2bis200Mbyte/s)
Drucker
V.24
• elektrische TTY
•funktionale RS422
• mechanische (100m;19kbit/s)
Stecker Eigenschaften Stecker

b)Bus
parallel (wenige cm bis 20 m; 1 Mbyte/s bis 20 Mbyte/s):
VME-Bus
FAST-BUS Verbindung

Sensor 1
CANAC-Dataway
ECB-Bus
lEC-Bus } mehrerer
Gerate
Steuerung 1

Sensor 2

Sensors
g seriell (2000 m; 100kbit/s
bis1000kbit/s)
^
Steuerung 2
FELDBUS
Verbindung von
PROFIBUS
PDV-Bus } ProzeReinheiten im Fabrikbetrieb

c) Netz
Sensor 1 Sensor2

MeBwert-
Rechner

Roboterl
Termine
1

Logistik-
Material Rechner

Roboter
Transport 2

Auswerte-
Rechner

r
Statistik Qualitats-
sicherung

Bildl6-1. Schnittstelle, Bussystem und Netz.

16.2.1 Arten der Verbindung, des Betriebs Punkt zu Gruppe (Multicast) (z. B. Leitrechner
und der Ubertragung zu bestimmten Steuergeraten) und Punkt zu
alien (Broadcast) (z. B. Systemmanager an alle
Wie Bild 16-3 im Teilbild a zeigt, unterscheidet Teilnehmer).
man folgende drei Verbindungsarten: Punkt zu Bei der Dateniibertragung sind drei Betriebs-
Punkt (end to end) (z. B. Rechner-Drucker), arten ublich (Bild 16-3b): Simplex-Betrieb (vom
570 16 Schnittstellen, Bussysteme und Netze

Sender zum Empfanger), Halb-Duplex-Betrieb "A" = 01 00001


(wahlweise vom Sender zum Empfanger oder s E

vom Empfanger zum Sender) und (Voll-)Du- 1


plex-Betrieb (liber zwei getrennte Datenleitun- \
gen vom Sender zum Empfanger und vom "A" "A"
Empfanger zum Sender).
Es gibt grundsatzlich zwei verschiedene Uber-
tragungsarten (Bild 16-3c): serielle Ubertra-
gung (Zeichen werden nacheinander iibertra-
gen) und parallele Ubertragung (Zeichen wer-
den gleichzeitig auf verschiedenen Leitungen 0 10000 1
iibertragen).

a) Verbindungsarten
X X X Synchroni- Start- Stop-
PunktzuPunkt sation bit Zeichen bit
end to end
Bild 16-4. Prinzip der Synchronisation bei Start-
Stop- Ubertragung.

d. h. Sender und Empfanger sind zu synchroni-


sieren (Bild 16-4).
Innerhalb einer Zeitspanne fmdet die Synchro-
Punktzu Gruppe Punkt zu alien nisation statt. Daraufhin sendet man ein Start-
Multicast Broadcast
bit, das den Anfang der Ubertragung kenn-
b) Betriebsarten zeichnet. AnschlieBend folgt die Ubertragung
(in Bild 16-3 die Bitfolge des Zeichens „A"X ^^^
S E simplex
mit einem Stopbit endet. Damit der Empfanger
si p halbduplex
die Informationen richtig interpretieren kann,
muB ihm die Zeitspanne bekannt sein, in der die
sl IE duplex Pegel auf der Leitung giiltig sind. Da diese Zeit-
spannen jedoch einer gewissen Toleranz unter-
c) Ubertragungsarten Binarzeichen "10100011" liegen, synchronisiert man die Kommunika-
I 10100011 I—^v"0"vo"0"0"^"r ^—| loioooii | tionspartner zusatzlich noch durch den Daten-
bit 12345678 seriell
[ 10100011 1 a) asynchrones Datenformat stop-
i bit

i
"1"
"0" k

"r '
•"0"
"0"
"1"
'mm. Startbit
parallel

Bild 16-3. Verbindungs-, Betriebs- und Vbertra- b) synchrones Datenformat


gungsarten. Zeichen 1... Zeichen n
An
16.2.2 Datenformate und Steuerzeichen
bei serieller Ubertragung
Anfang des Zeichenuber- Ende des
Um bei einer bitseriellen Ubertragung der Da- Blockes tragung Blockes
ten den Beginn und das Ende eines Zeichens Start of text End of text
(SOT) (EDT)
erkennen zu konnen, muB die Ubertragung in-
nerhalb einer gewissen Zeitspanne erfolgen, Bild 16-5. Asynchrones und synchrones Datenformat.
16.3 Schnittstellen 571

Strom, und zwar durch ein asynchrones oder ein a) Softvvare-Handshake


synchrones Datenformat (Bild 16-5).
S E
Beim asynchronen Datenformat wird zu Beginn
jedes Bytes ein Startbit gesendet und am Ende
"sendebereit"
jedes Bytes folgen ein oder zwei Stopbits. Da- S E
durch wird die Synchronisierung vor jedem Zei-
chen wiederholt. Beim synchronen Datenformat "empfangsbereit"
werden immer mehrere Bytes zu einem Block S E
(oder auch Rahmen) zusammengefaBt und am
Anfang jedes Blockes eine bestimmte Bitfolge "Text"
S E
(Kontrollbyte oder Steuerzeichen) gesendet. Die
einzelnen Bytes der Nachricht werden dann
ohne jegliche Zusatzkennung iibertragen, bevor "Text erhalten" 'f
S E
das Ende mit einer Bitfolge markiert wird. Zeit 1
In der Datenferniibertragung spielen Steuerzei- b) Hardware-Handshake
chen vor allem deshalb eine wichtige Rolle, weil Datenleitung sendeber^
es hier keine Leitungen gibt, mit denen sich die S f\ ^ • E
Ubertragung steuern lieBe. Folgende Gruppen
Handshake-Leitungen empfangsbereit
von Steuerzeichen sind liblich:
- Ubertragungssteuerzeichen (Kennzeichen von S ^ E
Anfang und Ende des Textes, Bild 16-5),
- Format steuerzeichen (formatierte Textaus-
gabe), s ^ ^ E
- Gerdtesteuerzeichen (Ein- und Ausschalten
von Geraten), I P
s ^ ^ [E •

- Kodeerweiterungszeichen (Darstellung be- 1 Zeit


stimmter zusatzlicher Zeichen) und
- sonstige Steuerzeichen (z. B. Loschen von Zei- Bild 16-6. Software- und Hardware-Handshake.
chen oder akustische Signale). Der Hardware-Handshake ist meist wesentlich
schneller als der Software-Handshake, da weni-
16.2.3 Ubertragungssteuerung ger Zeichen zu iibertragen sind. AuBerdem ist
(Handshake) beim Hardware-Handshake die Sicherheit der
Dateniibertragung hoher, da ein Speicheruber-
Unter Handshake versteht man eine Verstdndi- lauf (Datenpuffer voll) sofort gemeldet werden
gung zwischen Sender und Empfanger, damit kann, ohne daB Zeichen verloren gehen kon-
der Sender bzw. der Empfanger weiB, wann er nen.
ungestort senden bzw. empfangen kann. Dabei
unterscheidet man, wie Bild 16-6 zeigt, zwischen
Software-Handshake und Hardware-Hand- 16.3 Schnittstellen
shake.
Bild 16-7 zeigt eine Ubersicht iiber die Schnitt-
Beim Software-Handshake ist nur eine Leitung stellen mit ihren jeweihgen mechanischen, funk-
vorhanden. Auf ihr signaUsiert der Sender dem tionalen und elektrischen Eigenschaften.
Empfanger, daB er sendebereit ist. Der Empfan-
ger bestatigt das Signal mit empfangsbereit.
Daraufhin iibermittelt der Sender die Nachricht 16.3.1 Centronics-Schnittstelle
(z. B. einen Text). Der Empfanger bestatigt den Die Centronics-Schnittstelle wurde von der
Empfang. Der Hardware-Handshake benutzt Firma Centronics als Druckerschnittstelle ent-
drei Leitungen: eine Datenleitung zur Daten- wickelt und hat sich als Industriestandard
iibertragung und zwei Handshake-Leitungen durchgesetzt. Diese Schnittstelle ist bei den mei-
(eine fiir sendebereit und eine fur empfangsbe- sten Datenverarbeitungsgeraten bereits stan-
reit). Sind diese beiden Leitungen aktiviert, dardmaBig eingebaut und ist leicht zu hand-
dann kann die Dateniibertragung stattfinden. haben und zu verstehen.
572 16 Schnittstellen, Bussysteme und Netze

Schnittstellen

seriell parallel

1 1 1 1 1
1 1

Bezeichnung V.24-V.28 TTY RS-422 RS-423 RS-485 Centronics IEEE-488

Verbindung 1,2 Obisoo 2 4 2 8 8


inm
0 3 V bis 15 V 20 mA VA<V8 VA>OV VA<V8 OV bis 0,8 V 5V J
Peg el
1 -3Vbis-15V 0mA VA>V8 VA<OV VA>V8 2Vbis5V OV 1
Ubertragungs- 9600 10^ 10^ 10^ 10^ 2-10^
rateinbit/s(max.) 20000

sichere groBe Entfemungen, Drucker- Laborauto-


Einsatz PC-Peripherie Ubertragung schnelle Ubertragung Schnittsfalle matisierung

Bild 16-7. Ubersicht uber Schnittstellen.

a) Amphenolstecker
Mechanische Eigenschaften
Typ 57-30360 V^^r ifmMJMyfJMJiJUJiJBJULBUPi uf^ 1 Bei der Centronics-Schnittstelle benutzt man
einen 36poligen Stecker (z. B. Amphenol-Stek-
ker Typ 57-30360), wie er in Bild 16-8 a zu sehen
b) Belegung ist. Einzige Ausnahme hiervon ist der IBM-PC,
36-poliger Stecker 25-poliger Stecker (IBM-PC) 1 der einen 25poligen Steckertyp benutzt, wie er
bei Modem-Schnittstellen Verwendung fmdet.
Pin Signal Pin Signal
1 -STROBE 1 -STROBE
2 DATA 1 2 DATA 0 Funktionale Eigenschaften
3 DATA 2 3 DATA 1 Bild 16-8 b und c zeigen einen 36-Pin- und einen
4 DATA 3 4 DATA 2
5 DATA 4 5 DATA 3
25-Pin-AnschluB sowie die zugehorigen Stek-
6 DATA 5 6 DATA 4 ker. Weil die Centronics-Schnittstelle nicht ge-
7 DATA 6 7 DATA 5 normt ist, ist die in Bild 16-8 gezeigte Stiftbele-
8 DATA? 8 DATA 6 gung nicht allgemeingiiltig; jedoch unterschei-
9 DATA 8 9 DATA 7 den sich die einzelnen Schnittstellen meist nur
10 -ACK 10 -ACK
11 BUSY 11 BUSY
in der Anzahl der angeschlossenen Leitungen
12 PAPER END 2 PAPER END (die gultigen Belegungen sind jeweils den Hand-
13 + SELECT 13 + SELECT biichern der Gerate zu entnehmen). Die einzel-
14 - AUTO FEED 14 - AUTO FEED nen Leitungen der Schnittstelle lassen sich zu
32 - FAULT 15 - ERROR
-INIT(PRIME)
folgenden Gruppen zusammenfassen: Datenlei-
31 16 - INIT
36 - S E L E C T IN 17 - SELCT IN tungen, Steuerleitungen, Meldeleitungen und
15-17,19-30 GND 18-25 GND Stromversorgungsleitungen.
c) AnschluBnume her unc3
Datenleitungen
DATASTROBE— p— -or \ID Es gibt 8 Datenleitungen (Stift Nr. 2 bis 9), auf
DATA ozi denen man die Daten bitparallel ubertragen
2
3
4 13121110987 6 5 4 3 2 1 kann. Parallel zu diesen 8 Datenleitungen lie-
5
6
gen die zugehorigen Masseleitungen (Stift Nr.
ACKNOWL. ^ — 20 bis 27), die zur Abschirmung dienen.
BUSY fa 25 23 21 19 17 15
a P
d b 24 22 20 18 16 14
Steuerleitungen
OV • b
b
CHASSIS GN Dz: b
+ 5V Q
ooL-Tco
Die Centronics-Schnittstelle benutzt folgende
-CO drei Steuerleitungen (Handshake-Leitungen):
Bild 16-8. Pinbelegung und Stecker fur 36 KontakteKontakt Nr. 1: (Strobe), Auftaktsignal,
und 25 Kontakte.
16.3 Schnittstellen 573

Kontakt Nr. 10: (Acknowledge), Quittierungs- bellange betragt maximal 8 m, sollte jedoch 1 m
signal, bis 2 m nicht iiberschreiten. Die Ubertragungs-
Kontakt Nr. 11: (Busy), Wartesignal. geschwindigkeiten von parallelen Schnittstellen
Durch das Auftaktsignal (Strobe) meldet der sind sehr stark abhangig von den verwendeten
Sender dem Empfanger, daB Daten auf den Da- Bauteilen und Hegen im Bereich von 1000 Byte/s
tenleitungen bereitstehen und gelesen werden bis 100000 Byte/s.
konnen. Der Empfanger gibt das Quittierungs-
signal (Acknowledge), nachdem er die Daten 16.3.2 lEC-Bus
aufgenommen hat. Danach kann der Sender die
nachsten Daten auf den Datenleitungen bereit- Eine im Laborbereich haufig anzutreffende
stellen. 1st der Empfanger noch mit der Verar- Schnittstelle ist die lEC-Bus-Schnittstelle (lEC:
beitung der Daten beschaftigt und kann keine International Electrotechnical Commission).
neuen Daten empfangen, so meldet er dies liber Durch den lEC-Bus lassen sich unterschied-
die Wartesignalleitung (Busy). Dieses Verfahren lichste MeBsysteme zusammenschlieBen, ohne
heiBt Dreidraht-Handshake-Verfahren (s. Bild daB dafur spezielle Schnittstellenschaltungen
16-6). Es ist auch moglich, daB nur ein Zwei- einzurichten sind. Es liegen die Normen lEC-
draht-Handshake durchgefuhrt wird, bei dem 625 Teil 1 und 2 (25ponger Stecker) und lEEE-
man das Busy-Signal durch das Strobe-Signal 488 (24poHger Stecker) zugrunde. Folgende drei
ersetzt und die Freigabe neuer Daten durch das Leitungen sind zu unterscheiden (Bild 16-9):
Acknowledge-Signal erfolgt. Eine weitere Steuer- Datenleitungen, Handshake-Leitungen und
leitung ist: Steuerleitungen.
Kontakt Nr. 32: (Init), Grundeinstellung (Riick- Die Belegung der Leitungen ist in Bild 16-10 zu
setzleitung). sehen.
Durch die Riicksetzleitung bringt man den
Empfanger in eine fest defmierte Grundeinstel- PCI t{;;ifetij^li;;^;;;^

lung. ISteuerrechn, iiir


steuern
horen
Meldeleitungen sprechen

Um dem Sender den Zustand der Datenuber-


tragung zu melden, sind folgende zwei Meldelei-
tungen vorhanden: MeBgerat
horen :^m m
Kontakt Nr. 12: (Paper End), Papier zu Ende,
Kontakt Nr. 32: (Error), sonstige Fehlermel-
sprechen ^m
m
dungen.

Stromversorgungsleitungen Plotter
horen mzr| 1 1 1 1 DO. 8 Daten-
Bei der Centronics-Schnittstelle gibt es zwei
Stromversorgungsleitungen, die vom Empfan- leitun-
ger (Drucker) aus versorgt werden: NDAC-i 9®"
NRFD ^3Handshake-
Kontakt Nr. 18: + 5 V und DAV J leitungen
' EOJN,
Kontakt Nr. 16: OV. REN
SRQ > 5 Steuerleitungen
IPC
Elektrische Eigenschaften ATN J
Bild 16-9. lEC-Bus-Struktur.
Die Spannungspegel bei der Centronics-Schnitt-
stelle sind als TTL-Pegel (Abschn. 12.1)
defmiert und wie folgt logisch zugeordnet: Datenleitungen
OV ^ l[/, ^ 0,8 V ist logisch „1" und 2,4 V Beim lEC-Bus stehen 8 Datenleitungen zur
^ L/3 ^ 5 V ist logisch „0". Verfiigung, die zur Ein- und Ausgabe dienen,
Diese Festlegung gilt sowohl fur die Daten- als d.h. man kann sowohl Daten empfangen als
auch fur die Melde- und Steuersignale. Die Ka- auch senden.
574 16 Schnittstellen, Bussysteme und Netze

a) Belegung stehen bereit) teilt der Sender mit, daB auf den
Datenleitungen Daten zum Empfang bereitste-
StiftNr.: IEEE-488 IEC-825
Dt
hen. AnschUefiend erklaren die Empfanger iiber
Dt
D2 D2
die NRFD-Leitung (keine Bereitschaft zur
D3 D3 Dateniibernahme), daB sie keine weiteren Da-
D4 J14^ ten empfangen konnen. Sind die anstehenden
EOl REN Daten iibernommen, so teilen dies die Empfan-
DAV EOl
NRFD
ger durch die NDAC-Leitung (keine Daten
DAV
NDAC NRFD
empfangen) mit. AnschheBend erklart der Sen-
9 IPC NDAC der durch die DAV-Leitung (Daten stehen be-
10 SRQ IPC reit) die Daten solange fiir ungiiltig, bis neue
11 ATN SRQ Daten auf den Datenleitungen bereitstehen.
12 Abschirmung ATN
D5
Sind die Empfanger mit der Verarbeitung der
13 Abschirmung
14 D6 D5
iibernommenen Daten fertig, so teilen sie dies
15 07 D6 durch die NRFD- und NDAC-Leitungen mit
16 DB D7 (Bild 16-11).
17 REN D8
Gnd Steuerleitungen
18 Gnd Gnd
19 Gnd Gnd Zur Steuerung des Datenflusses dienen beim
20 Gnd Gnd lEC-Bus folgende fiinf Leitungen:
21 Gnd Gnd
Gnd
REN: Remote Enable, Fernsteuerungssignal,
22 Gnd
23 Gnd Gnd EOl: End or Identify, Ende- oder Identifika-
24 Gnd Gnd tionssignal,
25 Gnd Gnd IFC: Interface Clear, Interface betriebsbereit
b) Stecker schalten,
/o\ SRQ: Service request, Bedienungsanforde-
24 GND
[ o] rung,
23
22
GND
GND
Abschirmung 13
25 GND ATN: Attention, Achtung- oder NuU-Leitung.
21 GND 24 GND
NDAC 20 GND 23
22
GND
GND
Durch die REN-Leitung schaltet man die an
NRFD 19 GND NDAC
DAV
EDI
18
17
GND
REN
NRFD
DAV
GND
I 20 GND den Bus angeschlossenen Gerate auf Fernsteue-
19 GND
D104
D103 15 W 0 7
EDI
REN
18 GND
17 D J 0 8
rungsbetrieb um und schaltet die Bedienungs-
Di02 T4 0 1 0 6 D(04
D501 13 D105 0103
D102
t 6 D507
IS DJ06 funktionen an den Geraten aus. Nach dem letz-
14 DIO 5
D{01
ten Byte eines Datenblocks wird die EOI-Lei-
tung (Endesignal) aktiviert oder die ATN-Lei-
\2y
-Datenleitungen
5/W16-10. Leitungsbelegung fur den lEC-Bus nach Sender ,DAV
IEEE-488 und IEC-625. _,NRPD NDAC

Handshake-Leitungen
Der lEC-Bus hat folgende drei Handshake-Lei-
tungen: DAV: Data VaUd, Daten stehen bereit,
NRFD: Not Ready For Data, keine Bereit-
schaft zur Dateniibernahme und NDAC: No
Data Accepted, keine Daten empfangen.
Empfanger
Am Bus konnen zwar mehrere Sender vorhan-
den sein, jedoch darf nur jeweils ein Sender
aktiv sein. Man darf auch nur dann senden,
wenn alle angesprochenen Teilnehmer sich als
Empfanger
empfangsbereit gemeldet haben (die Kommuni-
kation verlauft wie beim Hardware-Handshake
nach Bild 16-6). Durch die Leitung DAV (Daten Bild 16-11. Handshake beim lEC-Bus.
16.3 Schnittstellen 575

tung (Achtung) meldet, welche der angeschlos- stelle, die sowohl Daten empfangen als auch
senen Gerate einen Datentransfer benotigen. iibertragen kann. Fur diese Schnittstelle gibt es
AnschlieBend werden alle angeschlossenen Ge- eine Vielfalt von Bezeichnungen und Normen,
rate in einen definierten Grundzustand versetzt so daB es oftmals zu Begriffsverwirrungen
(Reset). Mit der SRQ-Leitung (Bedienungsan- kommt. V.24 ist eine Abkiirzung der interna-
forderung) fordert ein am Bus angeschlossenes tionalen Norm CCITT V.24, in der allerdings
Gerat eine Bedienung vom Steuergerat (Con- keine elektrischen Eigenschaften festgelegt sind.
troller) an. Die ATN-Leitung zeigt an, ob sich In der entsprechenden amerikanischen Norm
gultige Daten auf den Datenleitungen befinden RS232/E sind dagegen nur die elektrischen
oder ob Adressen and Geratenachrichten uber Eigenschaften defmiert. Andere Normen sind
die Datenleitungen ausgetauscht werden. DIN-Norm 66020 und ISO 2110. DIN 66020,
Teil 1 und 2, beinhalten ebenfalls die funktio-
Elektrische Festlegungen nellen Anforderungen an Schnittstellen zwi-
Die elektrischen Pegel der Bussignale entspre- schen DEE und DtJE in Fernsprechnetzen; die
chen den Pegeln von TTL-Bauteilen, d. h., elektrischen Eigenschaften hierzu sind in DIN
gultige Pegel sind 0 V ^ L/, ^ 0,8 V und 66259, Teil 1 bis 3, beschrieben. In Bild 16-12
2,4 V ^ l/g ^ 5 V. Die Zuordnung der Pegel zu sind sowohl die Schnittstellenbelegung als auch
logischen Werten entspricht der einer negativen die Bezeichnungen der einzelnen Leitungen in
Logik, d. h. eine Spannung U^ ^ 0,8 V ent- den verschiedenen Normen gegenubergestellt.
spricht dem Wert „1" und eine Spannung DEE
Ground
DUE
U, ^ 2,4 V entspricht dem Wert „0". Die Si- 1
TD
gnale der einzelnen Gerate werden mit logisch- 2
RD
UND verknupft, d.h., die Spannung U^ kann 3 RTS
nur dann den Wert 2,4 V iiberschreiten, wenn 4
CTS
alle Gerate diesen Pegel senden. Eine Ge- 5
DSR
samtkabellange von 20 m soUte man nicht 6
DCD
iiberschreiten und die Abstande zwischen den 8
Geraten sollten nicht groBer als 2 m sein (Aus- TC
nahme: Zwischen dem Controller und erstem 15 RC
17
Gerat diirfen bis zu 4 m Abstand sein). Die 20 DTR
Ausgabegeschwindigkeit liegt, abhangig von 21 SQ
den Ausgabebauteilen, zwischen 250 Byte/s und 22 RI
1 Mbyte/s. Maximal kann man 15 Gerate an-
schlieBen, wobei mindestens zwei Gerate vor-
handen sein miissen, von denen eines als Steuer-
einheit (lEC-Bus-Controller) eingerichtet sein Bild 16-12. V.24-Schnittstellenbelegung.
muB.
16.3.3.1 Mechanische Eigenschaften
Nachrichten und Befehle im lEC-Bussystem
Man verwendet bei der V.24-Schnittstelle (wenn
Zusatzlich zu den Handshake-Leitungen sendet die elektrischen Eigenschaften der internatio-
man Befehle und Nachrichten auch als Bytefol- nalen Norm V.28 entsprechen) vor allem einen
gen iiber den Bus. Diese Nachrichten lassen sich 25poligen Stecker nach ISO 2110 als lotfahige
in vier Gruppen einteilen: Universalbefehle (fur Verbindung bzw. als Quetschverbindung.
alle Teilnehmer), adressierte Befehle (nur fiir
bestimmte Teilnehmer giiltig), Adressen (ange- 16.3.3.2 Funktionale Eigenschaften
schlossene Gerate konnen als Sender oder
Empfanger eingestellt werden) und Unteradres- An dieser Stelle sei ausdrucklich darauf hinge-
sen und Sekunddrbefehle (Gerate mit Zwei- wiesen, daB die meisten angebotenen V.24-
Byte-Adressen). Schnittstellen nicht alle in der Norm festgeleg-
ten Leitungen beschreiben. Die Leitungen nach
V.24 unterteilt man in: Datenleitungen, Steuer-
16,3.3 V.24-Schnittstelle leitungen, Meldeleitungen, Taktleitungen und
Die V.24-Schnittstelle ist eine serielle Schnitt- Stromversorgungsleitungen.
576 16 Schnittstellen, Bussysteme und Netze

Datenleitungen Kontakt Nr. 17: RC (Receiver Signal Element


Da der gesamte Datenaustausch der V.24- Timing), Empfangsschrittakt
Schnittstelle bitseriell erfolgt, braucht man nur vom Sender (DUE) an den
zwei Leitungen (eine Hin- und eine Riicklei- Empfanger (DEE),
tung). Dieses sind: Kontakt Nr. 24: Transmitter Signal Element
Kontakt Nr. 2: T x D (Transmit Data), Sende- Timing, Sendeschrittakt vom
daten. Empfanger (DEE) an den Sen-
Kontakt Nr. 3: R x D (Received Data), Emp- der (DUE).
fangsdaten.
Stromversorgungsleitungen
Steuerleitungen Um einen sicheren Betrieb zu gewahrleisten,
Sie dienen zum Ein- und Ausschalten des Sen- verbindet man beim Sender und Empfanger die
ders vom Empfanger aus und zur Festlegung Schutzerde und die Betriebserde (Bezugspoten-
des tJbertragungszustandes des Senders. Dieses tial OV) miteinander. Dies geschieht mit den
sind: Leitungen Stift Nr. 1: (Protective ground),
Kontakt Nr.4: RTS (Request To Send), Sen- Schutzerde und Stift Nr. 7: (Signal Ground), Be-
der einschalten und triebserde.
Kontakt Nr. 20: DTR (Data Terminal Ready),
Endgerat betriebsbereit (kann 16.3.3.3 Elektrische Eigenschaften
auch als BUSY-Signal des Pegelfestlegung
Empfangers angesehen wer-
den). Die elektrischen Eigenschaften der V.24/
RS232E-Schnittstelle (bzw. V.24/V.28-Schnitt-
Meldeleitungen stelle) sind durch Spannungen definiert, die in
Um die Betriebsbereitschaft und die Sendebe- den Bereichen von —15V bis +15V liegen
reitschaft des Senders anzuzeigen, bedient sich konnen. Im Bereich zwischen — 3 V und + 3 V
der Sender zweier Leitungen (CTS und DSR). ist ein ungiiltiger Bereich defmiert, um die
Der Empfanger zeigt mit Hilfe der Leitung DCD Signale deutlich trennen zu konnen. Die
an, ob bei den empfangenen Informationen die Pegelfestlegung defmiert eine logische ,,1"
richtigen Pegelverhaltnisse (s. elektrische Eigen- (engl.: MARK) fur Spannungen im Bereich
— 1 5 V < ( 7 s < — 3 V und eine logische „0"
schaften) herrschen. Diese Leitungen sind:
(engl.: SPACE) fur Spannungen im Bereich
Kontakt Nr. 5: CTS (Clear To Send), Sendebe-
+ 3V<(7, <+15V.
reitschaft,
Kontakt Nr. 6: DSR (Data Set Ready), Be- Kabelldngen
triebsbereitschaft,
Kontakt Nr. 8: DCD (Data Channel Received Die Kabellange hangt bei den Normen RS232/E
Line Signal Detector), Emp- bzw. V.28 im wesenthchen von der Ubertra-
fangssignalpegel. gungsgeschwindigkeit ab. Bei Geschwindigkei-
ten iiber 1000 bit/s wird die Leitungslange L
Taktleitungen (in m) nach der Formel L= 1/G * 10^ empfoh-
len, wobei G die Ubertragungsgeschwindigkeit
Um eine synchrone Dateniibertragung zu er-
in bit/s ist. Die Norm V.28 legt keine Langen
mogHchen, miissen Taktleitungen vorhanden
fest, verlangt aber, daB die Lastkapazitat (unter
sein, die den Sende- und Empfangsschrittakt
EinschluB der Kabelkapazitat) 2500 pF nicht
des Senders zum Empfanger bzw. umgekehrt
iiberschreitet.
iibermitteln. Dabei kann man unterschiedliche
Geschwindigkeiten zum Senden bzw. zum Emp-
fang benutzen, und zwar folgende Leitungen: 16.3.3.4 Verbindungen und Fehlersuche
Kontakt Nr. 15: TC (Transmitter Signal Ele- Da man iiber V.24-Schnittstellen sowohl Sender
ment Timing), Sendeschrittakt mit Empfangern (z. B. Computer mit Drucker
vom Sender (DUE) an den Oder Modem) als auch Sender mit Sendern (z. B.
Empfanger (DEE), Computer mit Computern) zusammenschalten
16.3 Schnittstellen 577

kann, ergibt sich ein erstes AnschluBproblem. Im weiteren ist zu priifen, mit welchem Proto-
Da zusatzlich nicht von jedem Gerat alle Lei- koll und uber welche Leitungen die beiden Ge-
tungen benutzt bzw. angeboten werden, ist rate kommunizieren sollen.
die Zusammenschaltung zweier Gerate mittels Von den verschiedenen Arten von Protokollen
V.24-Kabeln langst nicht so einfach wie bei- sind die wichtigsten das XON/XOFF-Protokoll
spielsweise bei der Centronics-Schnittstelle. Ist und das ETX/ACK-Protokoll (ETX: End of
eines der beiden Gerate als Sender (DUE) und Text; ACK: Acknowledge). Beim XON/XOFF-
das andere als Empfanger (DEE) ausgelegt, so Protokoll benutzt man Zeichen, um die Sende-
kann man die Leitungen geradeaus durchver- berechtigung zu erteilen, wahrend man beim
binden, wahrend bei der Zusammenschaltung ETX/ACK-Protokoll sowohl die Steuerleitung
zweier als Sender ausgelegter Gerate die mei- DTR als auch Zeichen verwendet. Je nach Art
sten Leitungen (mit Ausnahme der Stromver- des Protokolles werden also zur Steuerung der
sorgungsleitungen) gekreuzt werden miissen. Kommunikation mehr oder weniger Leitungen
Kommt bei der Zusammenschaltung keine betrieben.
Ubertragung zustande, so sind folgende Punkte
zu uberpriifen: tjbertragungsgeschwindigkeit,
Datenformat, Paritatsprufung und Protokoll- 16.3.3.5 Anschlufimoglichkeiten
verfahren. Die wichtigsten AnschluBmoglichkeiten sind in
Bild 16-13 zusammengestellt. Dazu ist festzu-
Einstellung der Ubertragungsgeschwindigkeit halten, daB bei alien AnschluBmoglichkeiten
Die iJbertragungsgeschwindigkeiten von bei- immer die Betriebserdeleitung angeschlossen
den Geraten miissen iibereinstimmen. Sie sind sein muB, weshalb sie bei der Zahlweise nicht
bei den meisten Geraten entweder mit Hilfe von beriicksichtigt wird.
Programmen oder von DIP-Schaltern einzu-
stellen. V.24 verwendet folgende IJbertragungs- Zweidrahtverbindungen
geschwindigkeiten: 50, 75, 110, 134.5, 150, 200, Im einfachsten Fall kann man zwei V.24-Gerate
300, 600, 1200, 1800, 2400, 4800, 9600 und
mit den beiden Datenleitungen verbinden (Bild
19200 bit/s.
16-13 a, b). In diesem Fall konnen jedoch kei-
nerlei Informationen liber den Zustand der
Einstellung des Datenformates Kommunikation ausgetauscht werden.
Hierbei gibt es die MogUchkeit, die Wortlange
(5-8 Bit) und die Zahl der Stopbits (1, 1,5 oder Mehrdrahtverbindungen
2) zu wahlen. Vorangestellt wird ein Startschritt,
der nicht abgeschaltet bzw. verlangert werden Den einfachsten Fall zeigt Bild 16-13 c als Zwei-
kann. drahtverbindung mit DTR-Protokoll (DTR:
Data Terminal Ready; Endgerat betriebsbereit).
Einstellung der Paritatsprufung Liegt auf der DTR-Leitung (Stift Nr. 20) ein
„0"-Pegel, so kann man keine Daten iibertra-
Man unterscheidet zwischen keiner Paritdt gen. Als weitere MogUchkeit dient die Benut-
(keine Fehlererkennung), gerader Paritdt (bei zung der Leitungen RTS (RTS: Request To
gerader Anzahl der zu sendenden Bits wird das Send; Sender einschalten) und CTS (Clear To
Paritybit = „1" gesetzt) und ungerader Paritdt Send; sendebereit).
(Paritybit = „1" bei ungerader Anzahl von Bits). Hier liegt bereits ein einfaches Handshake-Ver-
fahren vor: Uber die Meldeleitung CTS teilt der
Protokollverfahren Sender mit, daB er weitere Daten bereit halt,
In den Protokollen legt man fest, nach welchem wahrend der Empfanger iiber die Leitung RTS
Ereignis der Sender zu senden beginnen darf mitteilt, daB er Daten empfangen kann. Die
und wann er unterbrechen bzw. abbrechen Ubertragung fmdet nur dann statt, wenn beide
muB. Dazu muB zuerst bekannt sein, ob die Signale einen „r'-Pegel besitzen.
beiden zu verbindenden Gerate Sender oder Eine weiterfuhrende Form dieses Handshakes
Empfanger sind ( D O E oder DEE), weil dann ist der Mehrdraht-Handshake, bei dem zusatz-
gekreuzte oder gerade Kabel zu benutzen sind. lich noch die Leitungen DSR (DSR: Data Send
578 16 Schnittstellen, Bussysteme und Netze

gerade Kabel gekreuzte Kabel

DEE DUE DEE DEE

TxD 2 2 TxD TxD 2 2 TxD


RxD 3 3 RxD RxD 3 ]X; 3 RxD 1
RTS 4 4 RTS RTS 4 4 RTS
CTS 5 5 CTS CTS 5 5 CTS
DSR 6 6 DSR ! DSR 6 6 DSR
GND 7 7 GND GND 7 7 GND 1
DCD 8 8 DCD DCD 8 8 DCD
TC 15 15 TC TC 15 15 TC
RC 17 17 RC RC 17 17 RC
DTR 20 20 DTR I DTR 20 20 DTR
24 24 24 24 1

c) DEE DUE d) DEE DEE DEE DEE

TxD 2 2 TxD TxD 2 2 TxD TxD 2 2 TxD


RxD 3 3 RxD RxD 3 > : 3 RxD RxD 3 zx: 3 RxD
RTS 4 4 RTS RTS 4 4 RTS RTS 4 4 RTS
CTS 5 5 CTS CTS 5 z:i 5 CTS CTS 5 5 CTS
DSR 6 6 DSR DSR 6 6 DSR DSR 6 6 DSR
GND 7 7 GND GND 7 7 GND GND 7 7 GND
DCD 8 8 DCD DCD 8 8 DCD DCD 8 8 DCD
TC 15 15 TC TC 15 15 TC ! TC 15 15 TC
RC 17 17 RC RC 17 17 RC RC 17 17 RC
DTR 20 20 DTR DTR 20 20 DTR DTR 20 20 DTR
24 24 24 24 24 24

DEE DUE DEE DEE


TxD 2 2 TxD
RxD 3 3 RxD
RTS 4 4 RTS
CTS 5 5 CTS
DSR 6 6 DSR
GND 7 7 GND
DCD 8 8 DCD
TC 15 15 TC
RC 17 17 RC
DTR 20 20 DTR
24 24

g) DEE DUE
TxD 2 2 TxD
RxD 3 3 RxD
RTS 4 4 RTS
CTS 5 5 CTS
DSR 6 6 DSR
GND 7 7 GND
DCD 8 8 DCD
TC 15 15 TC
RC 17 17 RC
DTR 20 20 DTR
24 24

Bild 16-13. Anschlufimoglichkeiten fur V.24-Verbindungen.


16.3 Schnittstellen 579

gerade Kabel gekreuzte Kabel

DEE DUE DEE DEE

TxD TxD TxD 2 2 TxD


RxD RxD RxD 3 3 RxD
RTS RTS RTS 4 4 RTS
CTS CTS CTS 5 5 CTS
DSR DSR DSR 6 6 DSR
GND GND GND 7 7 GND
DCD 8 DCD DCD 8 8 DCD
TC 15 TC TC 15 15 TC
PC 17 RC RC 17 17 RC
DTR 20 20 DTR DTR 20 20 DTR
24 24 24 24

DEE DEE

TxD 2 2 TxD
RxD 3 3 RxD
RTS 4 4 RTS
CTS 5 5 CTS
DSR 6 6 DSR
GND 7 7 GND !
DCD 8 8 DCD
TC 15 *1' » 15 TC
RC 17 17 RC
DTR 20 20 DTR
24 24

Bild 16-13. Anschlufimoglichkeiten fur V.24-Verbindungen (Fortsetzung).

Ready; Betriebsbereitschaft) und DTR (DTR: 16.3.3.7 V.24-Schnittstelle


Data Terminal Ready; Endgerat betriebsbereit) in der Datenferniibertragung
benutzt werden (Bild 16-13g, h). Wiederum er- Bisher wurde die V.24-Schnittstelle nur dazu
folgt eine Ubertragung erst dann, wenn alle verwendet, um zwei Gerate mit Hilfe eines ge-
Meldeleitungen einen „r'-Pegel fuhren. Wird eigneten Kabels zu verbinden. Wird die V.24-
zusatzlich noch die Leitung DCD (DCD: Data Schnittstelle mit Modems zur Datenfernuber-
Channel Received Line Signal Detector; Emp- tragung eingesetzt, so kommen weitere Leitun-
fangssignal) ausgewertet, so liegt eine komforta- gen zum Einsatz, die man nur bei der Daten-
ble Mehrdraht-Handshake-Leitung vor (Bild
16-13j,k). TxD 2
Falls beide Gerate uber eigene Taktgeber verfii- RxD 3
RTS 4
gen, konnen auch noch die Leitungen TC (TC: CTS 5
Transmitter Signal Element Timing; Sende- 1 DSR 6
DCD 8
schrittakt vom Sender zum Empfanger) und RC DTR 20
(RC: Receiver Signal Element Timing; Emp-
fangsschrittakt vom Sender zum Empfanger) Bild 16-14. Teststecker
sowie die Leitung zum Stift Nr. 24 benotigt wer-
den (Bild 16-13 i). fernubertragung benotigt. Dazu finden die Be-
zeichnungen nach DIN 66020 Verwendung,
welche die einzelnen Leitungsgruppen durch
16.3.3.6 Funktionsiiberpriifung
ihre Anfangsbuchstaben unterscheidet (Bild
Mit einem Teststecker (Bild 16-14) kann man 16-15): D (Datenleitungen), E (Betriebserde),
die Funktion der Schnittstelle uberprufen und H (Hilfskanal), M (Meldeleitungen), P (Pruf-
mit einem einfachen Programm Zeichen senden schleife), S (Steuerleitungen) und T (Taktleitun-
und wieder empfangen. gen).
580 16 Schnittstellen, Bussysteme und Netze

StiftNr. DIN-Bezeichnung EIA-Bezeichnung CCITT


- 1 El Schutzerde- Protective Ground- - 101-
- 2 D1 Sendedaten TD Transmit Data - 103-
• 3 D2 Empfangsdaten RD Receive Data - 104-i
- 4 S2 Sendeteil einschalten- RTS Request to Send— - 105-
• 5 M2 Sendebereitschaft— CTS CieartoSend - 106-i
- 6 Ml Betriebsbereitschaft— DSR Data Set Ready - 107-i
• 7 E2 Betriebserde- Signal Ground - 102 -
• 8 -M5 Empfangssignalpegel- DCD Data Carrier Detect- - 109-1
• 9 Testspannung + nicht genormt
•10 Testspannung - - nicht genormt
-11 -S5 hohe Sendefrequenz einschalten Select Transmit Frequency— 126 •
•12 HM5 Empfangssignalpegel Received Line Signal 122 -
DEE Detector DUE
— 13- -HM2 Sendebereitschaft- Ready- •121 -
-•14- -HD1 Sendedaten Transmit Data- .118 -
— 16- -HD2 Empfangsdaten- Receive Data— .119-
-•19- -HS2 Sendeteil einschalten- Transmit Line Signal • 120-
— 15- -T2 SendeschrittaktDUE- TC Transmit Clock from DCE- -114 —
•17- -T4 EmpfangsschdttaktDUE- RC Receive Clock from DCE - -115-*-
-18- nichtgenormt- nicht genormt
-20- - S I .2 Endgeratbetriebsbereit- DTR Data Terminal Ready— -108.2-
-21- -M6 Empfangsgute- SQ Signal Quality Detect— -110 —
-22- -M3 ankommender Ruf Rl Ring Indicator -125 —
-23- -S4 hohe Ubertragungsgeschw. einsch — Signalling Rate Selctor- -111 -
-24- Sendeschrittakt DUE Transmitter Signal -113 -
-25- -T1 nicht genormt Element Timing DTE—
Bild 16-15. V.24-Schnittstelle fur die Datenfernubertragung.

Im folgenden werden nur die neuen Leitungen sprechnetz gibt, bestehen auch Empfehlungen
beschrieben. fur die digitalen offentlichen Datennetze mit der
Anfangskennung X.-. Analog zur V.24-Schnitt-
Hilfskanalleitungen stelle gibt es hier eine X.24-Schnittstelle, die
Die Hilfskanalleitungen ergeben zusammen funktionale Anforderungen an Schnittstellen
einen kompletten Dateniibertragungskanal, der zwischen DUE und DEE in Datennetzen allge-
eine Fehlerkorrektur im Hauptkanal ermog- mein beschreibt (Bild 16-16).
lichen soil, ohne daB dort eine Richtungs- r\
umkehr voUzogen werden mu6. Die mogliche - Betriebserde —
-DEE-RiJckleiter-
Ubertragungsgeschwindigkeit des Hilfskanals -DUE-Ruckleiter-
ist wesentlich niedriger als die des Hauptkanals. -senden
-empfangen
Auch hier sind Handshake-Leitungen vorhan- DEE -steuern DUE Ubertragungs-
den. -melden-
leitung
-DUESchrittakt—
- DEE Schrittakt-
20-mA'Stromschleifenschnittstelle -Zeichentakt
-Puls-Rahmentakt-
Eine nur fur den lokalen Bereich gedachte und
nicht fur offentliche Netze zugelassene Schnitt-
stelle ist die 20-mA-Stromschleifenschnittstelle
Y-Schnittstelle
Bild 1'6-16. X.24-Schnittstelle.
Oder auch TTY-Schnittstelle. Bei dieser Schnitt-
stelle nach DIN 66258 Teil 1 sind die binaren
Die X.24-Schnittstelle ist in DIN 66020 Teil 1
Informationen statt durch Spannung durch
genormt, wobei weitere Normungen fur be-
Stromsignale dargestellt. Dieses Verfahren setzt
sondere Vermittlungstechniken bestehen. Die
man hauptsachlich bei Fernschreibern ein und
CCITT-Empfehlungen X.25, X.29 (bzw. DIN
iiberbruckt damit Entfernungen bis zu 1000 m
66244) und X.32 beschreiben die Schnittstellen
mit einer maximalen Ubertragungsgeschwin-
zur Anschaltung an paketvermittelnde Daten-
digkeit von 9600 bit/s.
netze (DATEX-P), X.21 beschreibt die Anschal-
tung an leitungsvermittelnde Netze (DATEX-L)
X.-Schnittstellen
und X.30 beschreibt Schnittstellen fiir die Zu-
Ebenso wie es die CCITT-Empfehlungen mit sammenarbeit mit ISDN (zu den Netzen siehe
der Anfangskennung V.- fur das analoge Fern- Abschn. 16.5).
16.4 Bussysteme 581

Die elektrischen Eigenschaften sind in den a) paralleler Bus


Empfehlungen X.26 (V.IO) und X.27 (V.ll) fest-
geschrieben, ebenso in DIN 66259 Teil 1 bis 3
(Teil 1 beinhaltet die elektrische Norm nach
V.28).

16.4 Bussysteme
Uber Busse kann man Daten aus unterschiedli-
chen Systemen austauschen, wie dies in Bild
16-1 zu sehen ist. Solche Busse fmden im we-
sentlichen in der Biirokommunikation, in der b) serieller Bus Busleitung
Automatisierungstechnik und in der Datenver-
J>
arbeitung in Flugzeugen und Automobilen Ver-
wendung. Bei vielen technischen Anwendungen
<z
erfaBt und verarbeitet man an unterschied-
lichen Orten iiber Sensoren MeBwerte. Uber Einheit Einheit
I II
Bussysteme konnen diese Systeme miteinander
kommunizieren oder ihre Ergebnisse an einen Bild 16-17. Parallele und serielle Bussysteme.
zentralen Auswertungsort liefern. Da haufig
unterschiedliche Bereiche miteinander Daten
austauschen, miissen die mechanischen, funk- sion-Bus) und der ECB-Bus (Einplatinen-Com-
tionalen und elektrischen Eigenschaften der puter-Bus). Wie Bild 16-17 a zeigt, besitzen Bus-
Schnittstellen zwischen dem Kommunikations- systeme eine Vielzahl von Leitungen, die als
system und dem Bus eindeutig beschrieben wer- Datenleitungen, AdreBleitungen oder Steuerlei-
den. Wie Bild 16-17 zeigt, unterscheidet man tungen dienen (beim ECB- und dem PC-Bus
prinzipiell zwischen parallelem und seriellem gibt es noch zusatzliche Leitungen zur Span-
Bus. nungsversorgung). In Bild 16-18 sind die Ein-
satzgebiete zusammengestellt.

16.4.1 Parallele Bussysteme Im wesentlichen unterscheidet man Rechner-


busse, die Systembauteile von Rechnern verbin-
Wichtige Vertreter paralleler Bussysteme sind den und Peripheriebusse, die Ein- bzw. Ausga-
der PC-Bus (Personal-Computer-Bus), der lEC- begerate mit Rechnern oder MeB-, Steuer- und
Bus (International-Elektrotechnical-Commis- andere Datenverarbeitungsgerate miteinander

Ethernet BITBUS DINPDV-Bus MicroChannel VME-Bus SCSI lEC-Bus


Token-Ring Feldbus PROWAY-Bus PC-Bus STD-Bus ESDI CAMAC-
Token-Bus Mil-STD-1553 Data-Highway Q-Bus Multibus HP-IB Dataway
FDDI Inter-IC-Bus MODBUS EURO-BUS SASI
DQDB IEEEP896 HIPPI
Hyperchannel Digital Data IPI
Ultrachannel Bus FC

Bild 16-18. Einsatzgebiete paralleler Bussysteme.


582 16 Schnittstellen, Bussysteme und Netze

verbinden. Am Beispiel des ECB-Busses (Bild zusammen: Interruptleitungen, Status- und


16-19) wird der Aufbau eines solchen Rechner- Steuerleitungen fiir die Zentraleinheit des Rech-
Bussystems erklart. ners, Leitungen zur Steuerung der Zentralein-
Der ECB-Bus ist fur 8-Bit-Mikroprozessoren heit des Rechners und fur die Initialisierungs-
entwickelt und zeichnet sich dadurch aus, daB leitungen, Leitungen zur Ausgabe des Buszu-
man auf einer Sammelschiene die verschiede- standes und Taktleitungen.
nen Systeme als Karten einstecken kann (Bild Mit den Interruptleitungen kann man laufende
16-19 a). Der ECB-Bus besitzt 8 Datenleitun- Programme unterbrechen und spezielle Unter-
gen, 16 AdreBleitungen, 22 Steuerleitungen und programme aufrufen, die besondere Aufgaben
7 Leitungen zur Spannungsversorgung. Die ausfuhren (z. B. Alarmmeldungen bearbeiten).
Steuerleitungen faBt man in folgende Gruppen Die Status- und Steuerleitungen fur die Zentral-
einheit des Rechners dienen zur Anzeige der
Maschinenzyklen und Lese- (RD) und Schreib-
a) Aufbau der Platine zustande (WR) auf Speichern (MREQ) oder Pe-
Bussteckplatz
/ ripheriegeraten (lORQ). Mit den Leitungen zur
D nn Busplatine
Steuerung der Zentraleinheit kann man diese
beispielsweise anhalten oder in einen defmierten
(Sammel-
Wartezustand versetzen, oder das ganze System
schiene) kann in den Grundzustand iibergehen. Will ein
Gerat Daten uber den Bus transportieren, so ist
es mit bestimmten Leitungen in der Lage festzu-
stellen, ob dies moghch ist oder ob es beispiels-
II 1 1 l i i i [ J i i 1 1 li r Busleitungen
weise auf bestimmte Speicherbereiche zugreifen
kann. Die Taktleitungen dienen zur Versorgung
11 [j I II 11 11 Ij I / der angeschlossenen Gerate mit dem System-
takt und somit zur Synchronisierung dieser Bau-
teile.
b) ECB-Bus-Belegung

+ 5V 1a 1c -+5V
2a 2c -Do 16.4.2 Serielle Bussysteme
De- 3a 3c -D,
4a 4c -D2 Serielle Bussysteme schicken die Nachrichten
D4- 5a 5c -Ao
A2 6a 6c -A3
bitseriell uber ein gemeinsames Medium. Diese
A4 7a 7c -Ai Busse kommen im Fernbereich zum Einsatz
As 8a 8c -As
As 9a 9c •A7
und vor allem auf Strecken, bei denen man aus
WAII 10a 10c Griinden der Wirtschaftlichkeit oder der Zuver-
BUSREQ- 11a 11c -lEI
12a 12c
lassigkeit die Anzahl der Leitungen verringern
Al;
+12V-Hl3a 13c -12V mochte. Serielle Bussysteme sind deshalb zuver-
14a 14c -Di lassiger, weil weniger Steckverbindungen (hau-
5 V - 15a 15c -15V
2PHI- 16a 16c -lEO figste Schwachstelle) und Leitungen erforder-
Ai7- 17a 17c A11 hch sind. Zwei wichtige Beispiele sind der Bus
A.14 18a 18c A10
_+15V- 19a 19c A16
nach MIL-STD-1553B, der in Flugzeugen ein-
Ml 20a 20c -NMI gesetzt wird, und CAN/AN82526, der in Kraft-
21a 21c —INT fahrzeugen verwendet werden soil. Mit diesen
BOOT - 22a 22c —WR
BAI 23a 23c -VjDEO Systemen, die mit Lichtwellenleitern und Ko-
VCMOS- 24a 24c —RD axialkabeln ausgestattet sind, kann man die
BAO 25a 25c -HALT
WRTEN- 26a 26c -PWRCL Vielzahl der Leitungen in Plug- oder Fahrzeu-
lORQ • 27a 27c A12 gen deutlich verringern und Uberpriifungen
RFSH - 28a 28c Ai5
A13— 29a 29c —PHI oder Systemdiagnosen durch einfache Rechner
A9- 30a 30c —MREQ (z.B. PC) durchfuhren (Bild 16-20).
BUSACK 31a 31c —RESET
GND 32a 32c —GND Wie an diesen Beispielen deutlich wird, sind
manche serielle Bussysteme schon so komplex,
Bild 16-19. ECB-Bussystem. daB die Grenzen zwischen Bus und Netzwerk
16.4 Bussysteme 583

a) Schichtenmodelle b) Anwendung

Anwendungsschicht
• Informationsverwaltung

Objektdarstellung

Objektschicht
• Prioritatssteuerung
• Akzeptanzprufung
• Verwaltung der Datensatze 2 2
• automatische Sendewiederholung
im Fehlerfall 1 Armaturenbrett 5 Tur
2 Beleuchtung 6 Sonderausstattung
Botschaftsdarstellung 3 Sitz (Schiebedach)
4 Klima/wischer

Transferschicht c) Technische Daten


• Ausfallokalisierung
• Fehlerentdeckung und -behandlung geschirmte Kupferleitung
Ubertragungsmedium:
• Acknowledgement Lichtleiter
• Sicherung der Ubertragungsformate
• Arbitrierung maximale Lange: 40 m bei 1 Mbit/s

I
Physikalische Schicht
• Bitreprasentation
Bitdarstellung Ubertragungsrate:

Datenkapazitat:
10kbit/sbis1 Mbit/s

0 bis 8 Bytes je Botschaft

• Ubertragungsrate Anzahl der Identifier: bis zu 2032


• Signalpegel und Bittiming
• Ubertragungsmedium Botschaftslange: maximal 130 Bit

Systemerholzeit maximal 29 Bitzeiten


nachStorungen: typisch: 17 bis 23 Bitzeiten

Bild 16-20. Beispielfur einen Bus nach CANIAN82526.

nicht mehr sehr deutlich sind, so daB man Busse gung, Sprachiibertragung, AnschluB an offent-
als Sonderfalle von lokalen Netzwerken be- liche Verkehrsdienste).
trachten kann. Deshalb wird hier auch nicht Komponentenbusse dienen als Verbindung zwi-
weiter auf die Methoden der Zugriffsteuerung schen Bauteilen innerhalb von Rechnersystemen
bei Bussystemen eingegangen. Wichtigstes Un- Oder zwischen Moduln in rdumlich verteilten
terscheidungsmerkmal zwischen lokalen Netz- Systemen. Hierbei legt man nicht auf hohe
werken und Bussystemen ist, daB die Gerate bei Ubertragungsgeschwindigkeiten und groBe
lokalen Netzwerken eine sehr hohe Eigenstdn- Teilnehmerzahlen, sondern auf robustes Verhal-
digkeit und Gleichrangigkeit aufweisen, wah- ten bei geringer raumlicher Ausdehnung Wert.
rend bei Bussystemen eine strenge Hierarchie Dazu zahlen beispielsweise der Digital Data
mit intelHgenten, zentralen Steuerungseinrich- Bus, der MILSTD-1553B-Bus, der Feldbus
tungen und weniger intelHgenten, abhangigen Oder das CAN/AN82526.
Teilnehmern besteht. Serielle Bussysteme lassen Prozefibusse verbinden digitale, verteilte Auto-
sich nach Bild 16-21 einteilen in lokale Netz- matisierungssysteme mit hierarchisch geghe-
werke, Komponentenbusse und ProzeBbussy- derten Strukturen. Beispiele hierfur sind der
steme. PDV-Bus nach DIN 19241 (PDV: ProzeBlen-
Lokale Netzwerke erlauben die Kopplung von kung mit DV-Anlagen) oder der PROFIBUS
Geraten in rdumlicher Ndhe (Etagen, Gebau- nach DIN 19245 (Process Field BUS). Ein Bei-
den) mit vielen Teilnehmern und unterschied- spiel fur die Struktur eines Automatisierungs-
lichen Kommunikationsarten (Videoiibertra- systems mit Feldbussen zeigt Bild 16-22.
584 16 Schnittstellen, Bussysteme und Netze

a) lokales Netzwerk Produktions-


PC steuerung

Gro3- L Mini- MAP-Netz


rechner F rechner

PC Zellen- Zellen-
steuerung steuerung

1i —
Datex-P-
Modem

1 ^^x- W J1 Bildver-
arbeitungs-
Btx-
Rechner SPS CNC SPS
Terminal P System
PDV-Bus
MeB- Plot-
b) Komponentenbus gerat ter
lEC-Bus
CPU Fest- Magnet- Graphik- f f
platte band karte

^ E> SPS

PROFI-Bus
I/O CNC SPS

c) ProzeBbus
Bild 16-22. Automatisierungssystem mit Feldbussen.
Leit-
zentrale Zellenebene
Networks), in Netze, die groBe Entfernungen
innerhalb eines Landes uberbriicken: WAN
Steuerungs- Steuerungs- ProzeB- Stations- (Wide Area Networks) und in Netze innerhalb
rechner rechner rechner ebene von raumlich benachbarten Bereichen: LAN
(Local Area Networks).
Um den Aufbau und die Arbeitsweise von Net-
Sen- Sen- Sen- Maschi- zen zu vereinheitHchen, wurden Modelle ent-
SPS SPS sor SPS sor sor nen-
ebene wickelt. Dabei handelt es sich um reine Orga-
nisationsmodelle mit eindeutigen Regeln zum
Bild 16-21. Einteilung der Bussysteme. zeitlichen Ablauf, inhalthchen Formaten, logi-
schen Strukturen, Vereinbarungen zur Bereini-
gung von Fehlersituationen und uber Ausfuh-
rungsvorschriften fur die Informationsiibertra-
16.5 Netze gung. Das wichtigste Modell ist das OSI-Modell
16.5.1 Einfuhrung (Open Systems Interconnection) nach ISO (Bild
16-27), das SNA-Modell (Systems Network
ijber Netze sind unabhdngige Rechnersysterne Architecture) von IBM und das DNA-Modell
(z. B. Robotersteuerung und Qualitatssiche- (Digital Network Architecture) der Firma
rung) miteinander verbunden, um die fur sie DEC. Die einzelnen Modelle seien in den nach-
notwendigen Informationen aus den anderen sten Abschnitten beschrieben (Abschn. 16.5.5
Systemen erhalten zu konnen. Dabei sind die bis 16.5.7).
fiir das Arbeiten notwendigen Datenbestande
nur einmal zuzufuhren und zu aktualisieren,
und die Reaktionszeiten werden schnell. Bild 16.5.2 Global Area Networks (GAN)
16-23 zeigt eine Einteilung der Netze nach ih- Ein Global Area Network (GAN) iiberbruckt
rem Einsatzbereich, den Netzarten, den Syste- grofie Entfernungen bei kleinen Dateniibertra-
men und den Systemmodellen. gungsarten (meist nur wenige kBit/s). Wie Bild
Netze lassen sich nach Bild 16-23 je nach Ein- 16-23 zeigt, wird in weltweite Netze und in
satzgebiet einteilen in weltweite oder Konti- Netze fur einzelne Kontinente unterschieden.
nente umfassende Netze GAN (Global Area Fur ein GAN kann man keine allgemein giilti-
16.5 Netze 585

Netzwerke

Global Area Networks Wide Area Networks Local Area Networks


lEinsatz- GAN WAN LAN
bereich
weltweit

Internet, BITNET,
- Kontlnent

EUNET.EARN,
Land
TL
Wahlverbindungen Metropolitan Area|
NORD-
ARPANET/MILNET, Teilstreckennetze Networks
UNET.NSFNET
NSN,NREN,USEnet MAN
festgeschaltete Ring
Verbindungen
leitungsvermlttelt paketvermittelt

Token-
High-Speed- Passing
DATEX-L Slotted-
LAN-
Verbindungen Baum Ring
Netz- ATMR
arten DQDB

uberoffentliche Netze
verbundene LAN

Syste- Token- Token- Ethernet,


me ring Bus Hyperbus

[System-
modelle j SNA MAP J DNA

Bild 16-23. Ubersicht uber Netze.

gen Strukturen angeben, da sie vollig unter- ist ein Netz von IBM-GroBrechnern, das in Eu-
schiedlich aufgebaut sein konnen. Meist betrei- ropa in das EARN libergeht. NORDUNET ist
ben groBe Firmen oder Forschungseinrichtun- ein TCP/IP-Netz der skandinavischen Lander,
gen diese Netzwerke und benutzen oftmals das wiederum mit dem Internet verbunden ist.
offentliche Ubertragungseinrichtungen mit so- USENET und EUNET sind Netze von UNIX-
wohl gebundenen als auch ungebundenen Uber- Rechnern, die ebenfalls in das Internet integriert
tragungsmedien. Das wichtigste Netz ist das werden. Eine Normung fand hierfur bis jetzt
Internet mit dem TCP/IP-Protokoll (TCP/IP: noch nicht statt, jedoch sind die TCP/IP-Pro-
Transport Control Protocol/Internet Protocol; tokolle der DoD-Protokollfamilie (DoD: De-
s. Abschn. 16.5.8), dessen Ruckgrat (Backbone) partment of Defense) ein Quasi-Standard, der
in Amerika das NSFNET (NSFNET: National allerdings in Zukunft durch Einfiihrung der
Science Foundation Network) bildet. Hier wird OSI-Protokolle abgelost wird.
auch die Adressierung und Namensgebung des
Internet festgelegt (DNS: Domain Name Sy-
stem). Es bestehen Hauptgruppen (Top-level 16.5.3 Wide Area Networks (WAN)
domains), die sich baumartig in die angeschlos- Bei den Wide Area Networks (WAN) unterschei-
senen Lander verzweigen und somit eine hierar- det man die landesweiten Netze und die Metro-
chische Struktur ergeben. Ebenfalls an das politan Area Networks (MAN). Die deutsche
NSFNET angeschlossen sind ARPANET/ Bundespost Telekom betreibt ausschlieBhch die
MILNET des amerikanischen Verteidigungs- in Bild 16-23 aufgefuhrten landesweiten Netze.
ministeriums und das NSN der NASA, die ab Dazu gehoren das Datex-L- und das Datex-P-
1996 in das NREN-Netz (National Research Netz sowie das dienstintegrierende digitale
and Education Network) iibergehen. BITNET Netz ISDN (ISDN: Integrated Services Digital
586 16 Schnittstellen, Bussysteme und Netze

a) Datex-L Empfehlung 1.430 fiir das ISDN (zwei 64 kBit/s-


Nachrichtenpakete
Basiskanale und ein 16-Bit/s-Hilfskanal). Zu-
kiinftig soil bei ISDN eine Ubertragungsrate
I DEE H DUE h HDUEh-TDEE bis zu 140 MBit/s moglich sein.
Metropolitan Area Networks (MAN) sind Netze
im stadtischen Bereich, die meist mit eigens da-
fur gelegten Leitungen (meist Glasfaser) bzw.
Vermittlung
WM Vermittlung dem im stadtischen Bereich vorhandenen Ka-
belfernsehsystem (CATV: Community Antenna
festgeschaltete Verbindung fur die Dauer der Ubermittlung
Television) arbeiten. Sie benutzen dabei Hoch-
b) Datex-P geschwindigkeitsverbindungen mit tjbertra-
Nachrichtenpakete
gungsgeschwindigkeiten von 1 MBit/s bis zu
0 g• 0 0 200 MBit/s. Die MAN verbinden dabei mei-
I DEE f—TDtJi}-) , _ _ _ _ _ , _ _ rfoOEMDEE stens kleinere Netzwerke (z.B. mehrere LAN)
|A|a|l|e|n Oder GroBrechner miteinander. Sie sind in der
iTer" ISO-Norm 8802/6 genormt.
t 0 ,T
Vermittlung — A . — Vermittlung
16.5.4 Lokale Netze (LAN)
e n
Wie Bild 16-23 zeigt, gibt es eine Fiille unter-
Vermittlung iH schiedhchster lokaler Netze (LAN), deren Aus-
dehnung meist auf ein Gebaude beschrankt
EH bleibt. In Bild 16-25 ist zusammengestellt, in
Vermittlung welcher Weise sich die lokalen Netze durch ihre

verschiedene Pakete konnen verschiedene Wege nehmen a) Topologien

Bild 16-24. Leitungs- und paketvermittelnde Uber-


tragung. F^iH
Network). Datex-L und ISDN gehoren zu den
Maschennetz TT^
Diffusionsnetz
leitungsvermittelnden Netzen; Datex-P ist ein
paketvermittelndes Netz. Den Unterschied in
der Informationsiibermittlung zeigt Bild 16-24.
Die leitungsvermittelnden Netze bieten fur die Teilstreckennetz Stern
Dauer der Verbindung eine festgeschaltete Lei-
tung zwischen den Endgeraten (ahnlich einer b) Zugriffsverfahren c)Ubertragungsverfahren
Telefonverbindung). Dadurch wird die Infor- Basisband
mation (z. B. Aalen in Bild 16-24 a) auf einmal 0 )
statistisch
iibertragen. Ein paketvermittelndes Netz trans- (Zufall)
portiert nur Pakete fester Lange zwischen den
Endgeraten. Diese Pakete werden in den Ver- deterministisch ^
mittlungsstellen zwischengespeichert, um sie (geregelt)
Breitband
von dort iiber die freien Vermittlungsstellen
abschnittweise zu iibertragen (zu vergleichen d) Ubertragungsmedien
mit einem Brief bzw. einem Telegramm; Bild
16-24b). Bekannte Vertreter dieser Datennetze
sind das Datex-P-Netz der Telekom, das Deut-
Q5ooo<=oo=ooQ

verdrillte Leitung
Q :
Koaxialkabel
o
sche Forschungsnetz (DFN) und das Baden
Wiirttemberg Extended LAN (BELWU). •n D ^ ^
Normungen hierzu sind die CCITT-Empfeh- Lichtwellenleiter Radiowellen
lung X.25 fur das Datex-P-Netz (tJbertragungs-
rate llOBit/s bis 2 MBit/s) und die CCITT- Bild 16-25. Lokale Netze.
16.5 Netze 587

ISO 8802/2 (Higher Level Interface)

ISO 8801/1 (Logical Link Control, LLC)

ISO 8802/3 ISO 8802/4 ISO 8802/5 ISO 8802/6 ISO 8802/7 ISO 8802/9 ISO 8802/10
CSMA/CD Token- Token- Distributed Slotted- Integrated
Bus, Stern Passing Passing Queue Ring Voice LAN
Bus Ring Dual and Data
Bus LAN Security

ISO 8802/3 ISO 8802/3 ISO 8802/5 ISO 8802/7 ISO 8802/8
Koaxialkabel unshielded shielded Broadband Lichtwellen-
twisted pair twisted pair leiter

Bild 16-26. Normen fur lokale Netze.

Netztopologie, ihr Zugriffsverfahren, ihr tjber- Ubertragung digital kodierter Daten entworfen
tragungsmedium und ihre Ubertragungstechnik worden.
unterscheiden. Man benennt sie meist nach der Zwischen den einzelnen Schichten wird jeweils
Art der Ubertragungstechnik, beispielsweise als ein Service Access Point (SAP) zur Verfiigung
Basisband- oder Breitband-Netze. gestellt, der als Ubergabeschnittstelle zwischen
Die verschiedenen Normen fur lokale Netze den Ebenen anzusehen ist. Somit ist eine Ver-
sind in Bild 16-26 zusammengestellt. bindung in vertikaler Richtung definiert, wah-
Die Normungen der Bitubertragungsschicht rend die Verbindung in horizontaler Richtung
(physical layer) und der Sicherungsschicht nur als Umweg iiber die tieferliegenden Schich-
(data link layer) betreffen die elektrischen ten gestattet werden kann. Die Ebenen 1 bis 4,
Eigenschaften und die Zugriffsteuerung. Dabei die transportorientierten Schichten sind dabei
faBt man die verschiedenen Ubertragungsme- meist als Hardware (z. B. Einsteckkarten in den
dien und die Zugriffsarten in einem eigenen PC) ausgefuhrt, wahrend die anwendungsorien-
Block zusammen. In ISO 8802/1 wird die tierten Schichten 5 bis 7 als Software in den
Schnittstelle zur Zugriffsteuerung beschrieben. einzelnen Stationen laufen.
Die Schnittstelle zur Vermittlungsschicht (net-
Der grundlegende Gedanke fur dieses Modell
work layer) heiBt Higher Level Interface.
ist die Trennung in allgemeine Komponenten,
In Bild 16-27 a sind die Systemmodelle und ihre
die bei jeder Kommunikation unabhangig von
Schichten und in Bild 16-27b die entspre-
deren Inhalt auftreten und in inhaltliche Kom-
chenden Protokollfamilien der Modelle OSI
ponenten, die speziell die Kommunikation zwi-
(Open Systems Interconnection), DNA (Digital
schen Endsystemen bestimmen. Die Verarbei-
Network Architecture) und SNA (Systems Net- tungsinstanzen stiitzen sich in ihrer Kommuni-
work Architecture) vergleichend gegeniiberge- kation auf eine Hierarchic von Kommunika-
stellt. Diese Modelle beschreiben ledigHch die tionsdiensten und die zugehorigen Regeln, die
Regeln fur einen Kommunikationsaustausch Protokolle. Auf diese Weise ergeben sich Funk-
(Protokolle). Sie sind reine Organisationsmo- tionsschichten, die sich, wie die Kommunika-
delle, die keine Beschreibung der Hardware tionsdienste selbst, uber Systemgrenzen hinweg
beinhalten. erstrecken. Dadurch entsteht neben dem verti-
kalen InformationsfluB von Schicht zu Schicht
16.5.5 OSI-Modell mit sieben Schichten noch ein horizontaler InformationsfluB uber
Systemgrenzen hinweg (peer-to-peer-protocol;
16.5.5.1 Beschreibung
Partnerprotokoll), der nicht direkt iiber einen
Das 7-Schichten-Modell, wie es Tabelle 16-1 realen Ubertragungskanal erfolgt, sondern iiber
zeigt, ist fur verschiedene Anwendungen zur die tieferhegenden Schichten.
588 16 Schnittstellen, Bussysteme und Netze

a) Schichtenmodell b) Protokolle
OSI DNA SNA
SAA-Elemente Protokollfamilie:
User DoD XNS SNA ISO 1
Appli- SchicFltePf^^^ CCITT
cation Network DCA/DIA End User
Appl. Service DIA/ X.400
Management 7 Application CASE
FTP i Inf. encoding
ASE DCA FTAM etc.
Presen- Network Presen- 6 Presen- Presen- ISO
SNADS tation tation
tation Application Tel- : Remote 8822
tation services X.409
net procedure
NSP . calls Session/
Session APPC- Data Flow 5 Session SMTP
Data ISO
Session Flow
8327
Control Schnitt- control Control
stelle UDP Sequen- Trans- ISO
i4 Trans- 4 Transport ced
TCP ; Packet mission 8073
End-to-End mission
Transport LU6.2 Protocol ISO
Communication control Path
3 Internet IP . XNS 8473
Internet conrol X.25
Network Routing PU2.1 Path 2 b Logical link
control control HDLC
ETHER- SDLC ISO
2 2 a Medium ISO
access 8802/4 8802/2
Data Link Data Link SDLC Data link control NET
ISO
1 Physical RC 8802/3
1 [TokenRing 232 E 8802/4
8802/5 X.21
Piiysical Physical Link Local Area| Physical
Net Medium

c) Abkurzungen
FTP File Transfer Protocol SDLC Synchronous Data Link Control
TELNET interaktiver Terminalverkehr CASE Common Application Service Element
SMTP Simple Mail Transfer Program (ISO-DP 8649/1-3)
TCP Transmission Control Protocol FTAM File Transfer And Message Protocol MAP und
TOP (ISO-DP 8571)
IP Internet Protocol HDLC High Level Data Link Control
UDP User Datagramm Protocol X.4XX Telematik-Festlegungen nach CCITT
ASE Application Support Enyironment
XNS Xerox Network System
(Protokoll fijr Internetzwerkverbindungen)
DIA/DCA Document Interchange Architecture/Document
Content Architecture
Bild 16-27. Vergleich der Schichtmodelle und ihrer Protokollfamilien.

Das Schichtprotokoll hat bestimmte Aufga- sprechender Chef (application layer) mochte
ben zu erfullen. Dabei fiigt jede Schicht auf mit einem deutschsprechenden Chef kommu-
der Senderseite der Nachricht genau diejeni- nizieren. Der Ubersetzer (presentation layer)
gen Informationen hinzu, die fur den Empfan- macht nun aus dem enghschen Wort „Eagle"
ger zur Interpretation notwendig sind. Diese das deutsche Wort „Adler" und aus dem deut-
Informationen werden dann von der entspre- schen Wort „Igel" das engUsche Wort „Hedge-
chenden Schicht beim Empfanger wieder ent- hog". Man konnte sich auch vorstellen, ein Chi-
fernt, so daB am Ende die reine Nachricht nese kommuniziert mit einem Franzosen, und
librigbleibt. die Ubersetzer kommunizieren in enghscher
Zwischen den einzelnen Schichten gibt es genau Sprache miteinander.
defmierte Schnittstellen (SAP: Service Access Diese Nachrichten werden von den Sekretarin-
Points). Eine Unterscheidung von lokalen Da- nen (session layer) iiber das Telefon (transport
tennetzen erfolgt, wie Bild 16-23 zeigt, nach dem layer) weitergegeben. Die Vermittlungsstelle
eingesetzten Zugriffsverfahren oder nach der (network layer) sucht iiber die Telefonnummer
physikaHschen Struktur des Netzes (Topologie). den richtigen Weg. In der Vermittlungsstelle
Die Aufgaben der einzelnen Schichten lassen sitzt ein Leitungswahler (hnk layer), der eine
sich folgendermaBen veranschaulichen (Beispiel freie Leitung (physical layer) zur Verfiigung
in Tabelle 16-1): Angenommen, ein englisch- stellt, ganz gleich, ob das nun eine Richtfunk-
16.5 Netze 589

strecke oder ein Kupferkabel oder eine Satelli- Blocklange, Prufsumme, Sender- und Empfan-
teniibertragungsstrecke ist. Im folgenden seien gerkennung. Mit Hilfe dieser Angaben stellt die
die einzelnen Schichten des OSI-Modelles ge- Sicherungsschicht eine Verbindung fiir die feh-
nauer beschrieben. lerfreie und vollstandige Ubertragung her.
Unzulanglichkeiten einer Ubertragungsstrecke
werden den hoheren Schichten berichtet. Das
16.5.5.2 Schichten des OSI-Modells Protokoll der Sicherungsschicht sorgt dabei fiir
die Sicherung der Dateniibertragung und fur
Bituber tragungssch ich t die Korrektur von Ubertragungsfehlern. Bei
(Schicht 1: physical layer) den Protokollen in dieser Schicht wird zwischen
Die Bitiibertragungsschicht wird die binaren den zeichenorientierten Ubertragungsverfahren
Signale ungesichert libertragen. In diesen (basic data link control procedures) und den
Schichten befinden sich bereits einfache Fehler- bitorientierten (high level data link control
erkennungsmechanismen, um Leitungsunter- procedures) unterschieden. Das bekannteste
brechungen oder den Zusammenbruch eines Protokoll dieser Schicht ist das XON/XOFF-
Ubertragungskanals feststellen zu konnen. Bei Protokoll fiir festgeschaltete Punkt-zu-Punkt-
der Basisbandiibertragung (baseband) werden Verbindungen iiber V.24-Schnittstellen, in dem
die Signale nacheinander, d. h. im Multiplex- nur zwei Zeichen zum Beginn und Beenden
verfahren, iibertragen. Dieses Verfahren ist ko- einer Ubertragung defmiert sind. Bekannte Ver-
stengiinstig und wenig storanfallig. Bei der treter fiir Leitungsprotokolle (LLC-Protokolle)
Breitbandiibertragung (broadband) teilt man sind:
den zur Verfugung stehenden Frequenzbereich
in einzelne Kandle auf und kann in ihnen gleich- BSC Binary Synchronous Control (IBM)
zeitig verschiedene Nachrichtensignale iibertra- SDLC Synchronous Data Link Control
gen; es findet also ein Frequenzmultiplex statt. (IBM)
Diese Art der Ubertragung eignet sich beson- ADLCP Advanced Data Link Control
ders zur Ubertragung von Sprach- und Video- Protocol (ANSI)
signalen, da hier geniigend hohe Bandbreiten HDLC High Level Data Link Protocol
zur Verfugung stehen. Fiir die lokalen Daten- (ISO); LAP Line Access Procedure
netze sind hierbei die in Bild 16-25 dargestell- (CCITT).
ten gebundenen Ubertragungsmedien iiblich: Bei den zeichenorientierten Protokollen ver-
Zwei-Aderleitung (verdrillt), Koaxialkabel und wendet man Steuerzeichen zur SignaHsierung.
Lichtwellenleiter. Daneben gibt es die ungebun- Diese Steuerzeichen sind in einer Kodetabelle
denen Ubertragungsmedien, die in Form von vereinbart (z.B. ISO-7-Bit-Kode, CCITT Nr. 5,
elektromagnetischen Wellen bei Radio- und DIN 6603). Dabei faBt man die zu iibermit-
Satelhtennetzen Informationen ubertragen. telnden Nachrichten als Block zusammen (Bild
16-5). Ist ein solcher Block komplett iibertra-
Sicherungsschicht (Schicht 2: link layer) gen, so schickt die Empfangsseite eine Bestati-
gung (ACK: Acknowledge) bzw. eine Nicht-
Aufgabe der Sicherungsschicht ist es, eine zu- bestatigung (NAK: Not Acknowledge) des
verlassige Dateniibertragung zu garantieren. Empfangs zuruck. Wichtig beim Einsatz von
Dazu gehort sowohl der geordnete Zugriff auf zeichenorientierten Protokollen ist, daB die be-
das iibertragende Medium als auch die Struktu- teiligten Systeme den gleichen Zeichensatz ver-
rierung der Daten. Die ISO-Norm 8802 teilt wenden.
deshalb die Sicherungsschicht in folgende zwei
Teilebenen ein: In die LLC-Teilebene (Logic Bitorientierte Protokolle benutzen strukturierte
Link Control Sublayer), welche die Strukturie- Blocke nach DIN (frame) mit orthch festgeleg-
rung der Daten vornimmt und in die MAC-Teil- ten Feldern (Rahmen oder Block) fur die Auf-
ebene (Medium Access Control Sublayer), die nahme von Steuer- bzw. Nutzinformationen zur
den Zugriff auf das Ubertragungsmedium vor- Ubertragung. Ein Rahmen wird von bestimmten
nimmt. Die Datenstruktur in der Sicherungs- Bitmustern (flags) begrenzt, die durch spezielle
schicht besteht aus einem Datenblock mit Aufbereitung der Nutzinformationen (Bitstop-
Nutzdaten sowie Kontrollinformationen wie fen) innerhalb eines Rahmens nicht mehr vor-
590 16 Schnittstellen, Bussysteme und Netze

Tabelle 16-1. OSI-Modell mit 7 Schichten.


Bezeichnung Bedeutung Beispiel

7
Application layer Anwenderschnittstelle, Chef
Anwendungsschicht Informationsverarbeitung

6
Presentation layer Anpassung von Datenformaten Ubersetzer
Darstellungsschicht
c
5
Session layer Darstellung der Verbindung Sekretarin
Kommunikations- als virtuelle Einheit
steuerungsschicht

4
Transport layer Umsetzen von Namen in Telefonvermittlung
Transportschicht Netzwerkadressen,
Teilnehmerverbindungen
-^ O

3
CD
Network layer Wegefindung, Nebenstellenanlage,
>-• Vermittlungsschicht Endsystemverbindungen Vermittlung

*^ 2
o Data Link Layer Zugriffssteuerung, Systemverbindungen, Telefonanlage,
Sicherungsschicht Priifsummenbildung, Versenden und Satelliten-Richtfunk-
Empfangen von Datenpaketen station

1
Physical Layer Erzeugen der elektrischen Signale Modem, Akustik-
Bitiibertragungsschicht koppler, Telefonapparat

kommen konnen. Somit ist die Ubertragung sentlichen fur die Verbindung mit anderen
unabhangig von einem bestimmten Zeichensatz Netzen uber Gateways (Abschn. 16.5.11) v^ich-
moglich. Die bitorientierten Protokolle kon- tig. Die Vermittlungsschicht verknupft gesi-
nen, da sie wesentlich komfortabler aufgebaut cherte Leitungen zu Endsystemverbindungen.
sind als die zeichenorientierten Protokolle, die Dabei haben die daruberliegenden Schichten
geforderten Aufgaben der zweiten Ebene des nur noch die Endsystemverbindungen, so daB
OSI-Modelles erfiillen. ein transparenter Datenaustausch moghch ist.
Der daruberhegenden Transportschicht werden
Vermittlungsschicht (Schicht 3: network layer) folgende zwei Dienste angeboten, der VCS (Vir-
tual Circuit Service) und der DS (Datagram Ser-
Sie legt fest, wie eine Netzverbindung zwischen vice). Beim VCS stellt man logische Kanale zur
den Endsystemen aufgebaut und liberwacht wird. Verfugung. Diese besorgen den Auf- und Abbau
In Zusammenarbeit mit der Sicherungsschicht der Verbindungen und garantieren mit der
bewaltigt sie die Wegflndung (Routing) durch Schicht 2 eine fehlerfreie vollstandige Uber-
das Netzwerk. Dazu miissen Netzknoten mit tragung (vergleichbar mit einer Telefonverbin-
ausreichender Speicherkapazitat fur die Zwi- dung). Der Datagram Service (DS) nimmt ein-
schenspeicherung gesucht werden. Bei Diffu- zelne Datenpakete ab und versucht, diese als
sionsnetzen ist die Vermittlungsschicht im we- einzelne, isolierte Einheiten zu ubertragen. Da-
16.5 Netze 591

bei wird die gleiche Reihenfolge der einzelnen Kommunikationssteuerungsschicht


Datenpakete an den Sender und den Empfan- (Schicht 5: session layer)
ger nicht gesichert (vergleichbar mit einer Brief-
zustellung). Diese Schicht stellt die Mittel zur Verfiigung,
eine Kommunikationsbeziehung (Sitzung oder
Ein wichtiger Standard dieser Ebene ist die session) zu eroffnen, deren geordnete Durchfuh-
CCITT-Empfehlung X.25, der die Schnittstelle rung zu regeln und zu beendigen. Die Sitzungs-
zwischen einem Host-Rechner und den Daten- schicht (mehrere Benutzer arbeiten gleichzeitig
iibertragungsdiensten offentlicher und privater an Rechnern und mit deren Betriebssystem:
Netze definiert. X.25 ist eine Norm fur die un- Multiuser-Systeme) hat bei der Dateniiber-
tersten drei Schichten. Die elektrischen Eigen- tragung folgende besondere Aufgaben zu erful-
schaften sind durch die X.21-Empfehlung gere- len:
gelt (Bild 16-27b). Bekannte Protokolle der
Netzwerkebene sind TCP/IP, XNS und DEC-
net. a) Multiplexen
Liegen in einem System mehrere Auftrage zur
Transportsch ich t Dateniibertragung vor, so muB man diese,
(Schicht 4: transport layer) unter Berucksichtigung von Prioritaten, zeit-
Diese Schicht transportiert die Nachrichten lich versetzt der Dateniibertragungseinrichtung
von einem Endsystem zum anderen. Dazu wer- (DUE) zufiihren.
den die notwendigen Transportverbindungen
errichtet, gesteuert und beendet. Folgende Auf-
gaben miissen erfiillt werden: b) Verwaltung der Pufferspeicher
• Datensegmentierung, Die Daten gelangen bei der t)bertragung in
Pufferspeicher, von denen man sie dann abho-
• AdreBubersetzung (z. B. Name zur Rufnum- len bzw. abschicken kann. Die Verwaltung und
mer), Verteilung dieser Pufferspeicher auf die einzel-
• Anpassung an unterschiedliche Netzeigen- nen Teilnehmer sowie das zeitweise Auslagern
schaften und auf Hintergrundspeicher (swapping) bei starker
Beanspruchung wird von der Speicherverwal-
• Fehlerprotokolle fiir die Verbindung zwi-
tung (buffer management) der Sitzungsebene er-
schen den Endeinrichtungen.
ledigt.
Bei der Transportschicht gibt es funf verschie-
dene Dienstklassen: c) Prioritdtenverwaltung
Klasse 0: Einfachste Klasse ohne Fehlererken-
Bei fest zugewiesenen Prioritaten muB die Prio-
nung ritatenverwaltung (priority management) bei je-
dem Auftrag priifen, ob nicht ein Auftrag hohe-
Klasse 1: Grundklasse mit Fehlererkennungs-
rer Prioritat vorliegt. Meist setzt man hierbei
und Behebungsmechanismen bei
eine dynamische Prioritatenverwaltung ein, die
netzseitig gemeldeten Fehlern
trotz vieler Auftrage mit hoher Prioritat auch
Auftrage niedriger Prioritat beriicksichtigt. Da-
Klasse 2: Multiplexverbindungen bei wird die Prioritat eines Auftrags nach jeder
Nichtbearbeitung erhoht und nach jeder Bear-
Klasse 3: Multiplexverbindungen mit Fehler- beitung erniedrigt.
behandlung nach Klasse 1

Klasse 4: Wie Klasse 3, aber mit einer zusatzh- d) Austausch von Kennungen
chen Behandlung von selbsterkann-
ten Fehlern. Bei vielen Kommunikationsformen ist ein Aus-
tausch von Kennungen iiber die gerufene und
die rufende Station vorgesehen. Diese Kennun-
gen kann der Anwender auf Wunsch ausgeben.
592 16 Schnittstellen, Bussysteme und Netze

e) Parameter-Ubergabe hen die Daten, die iibertragen wurden, dem


System unmittelbar zur Verfugung. Zur Ma-
Da die Daten meist zu Paketen zusammenge- schinensteuerung sind Vereinbarungen nach
faBt sind, kann hier eine Parameteriibergabe MAP (MAP: Manufacturing Automation Pro-
(z. B. Parameter fiir bevorzugte Behandlung als tocol, Abschn. 16.5.9.1) iiblich; fur sonstige
Eilpaket) stattfinden. Man kann auch Angaben
technische Informationen und fiir die Biiro-
uber die Formatierung von Nachrichten oder
kommunikation TOP (TOP: Technical and
die Behandlung von Daten in der empfangenen
Office Protocol, Abschn. 16.5.9.2). Die geleiste-
Anlage ubergeben.
ten Dienste der Verarbeitungsschicht hangen
vom Umfang des Netzwerkbetriebssystems ab.
Dars tellungssch ich t Deshalb lassen sich nur noch wenige gemein-
(Schicht 6: presentation layer) same Aspekte herausstellen. Als Dienstleistun-
gen stehen zur Verfugung: die Benutzung der
Die Darstellungsschicht hat die Aufgabe, Da- Ressourcen anderer Stationen im Netz (auf-
tenformate anzupassen. Gerade bei offenen bauend auf die Darstellungsschicht), Verwal-
Netzen, die auch an Dienste wie Teletex oder tungsaufgaben, wie die ZugangskontroUe und
Bildschirmtext (Btx) angeschlossen sind, miis- die Strukturverwaltung bestehender Netzver-
sen die Daten in eine entsprechende interne bindungen.
Darstellung umgeformt werden. Das Proto-
koll der Darstellungsschicht legt fest, mit
welchen Sprachmitteln die Kommunikation
stattfindet. Zu den Diensten dieser Schicht ge- 16.5.6 SNA-Modell
horen: (System Network Architecture)
In Bild 16-27 sind die einzelnen Schichten des
a) Datenkompression SNA-Modells im Vergleich zum OSI-Modell zu
Die Datenkompression dient der Vermin- sehen. Beim SNA-Modell handelt es sich im
derung des Verkehrsaufkommens. Deshalb Gegensatz zum OSI-Modell um ein geschlosse-
kann eine vorhandene tJbertragungskapazitat nes System. Die Architektur wird jedoch als
mehr Informationen libertragen. Die Daten- Open-End-architecture bezeichnet, weil Erwei-
kompression setzt natiirhch voraus, daB die terungen und Verbesserungen moghch sind. Die
Daten beim Anwender vollzahhg und griffbereit Ebenen im SNA-Modell gliedern sich in funk-
vorliegen. tionale Ebenen, die dem OSI-Modell entspre-
chen. Eine Ebene des SNA-Modells stellt nicht
nur eine Funktion, sondern einen ganzen
b) Datenvorverarbeitung Systemteil dar, dessen Komponenten Protokoll-
maschinen heiBen. Dabei unterscheidet man
Mit der Datenvorverarbeitung laBt sich die Da-
zwischen logischen (LU: Logic Units) und phy-
tenmenge verringern, so daB nicht alle eingege-
sikalischen Einheiten (PU: Physical Units).
benen Daten iibertragen werden miissen. Logische Einheiten werden durch physikahsche
Einheiten in Form von SNA-Funktionen ver-
c) Umkodierung wirklicht. Beim SNA-Modell teilt man die
im Netz vorhandenen physikalischen Einheiten
Eine Umkodierung der Daten ist notwendig, nach ihrer Funktion in mehrere Schichten ein,
wenn zwei Systeme miteinander kommunizie- wie Bild 16-27 a zeigt. Die Physical Unit des
ren, die unterschiedliche Zeichenkodes verwen- Typs 2.1 (PU 2.1) kennzeichnet einen Rechner
den. mit beschrankter Leistungsfahigkeit, der zwar
als gleichberechtigter Partner mit anderen
Rechnern kommunizieren kann (peer-to-peer
Anwendungsschicht communication), aber keinerlei Netzfunktionen
(Schicht 7: application layer) in einem SNA-Netz ausfiihrt. Zu dieser Gruppe
In der Verarbeitungsschicht fmdet die eigent- gehoren kleinere Biirosysteme (z. B. Personal
hche Informationsverarbeitung statt. Hier ste- Computer).
16.5 Netze 593

Eine LU ist ein Kommunikationskanal, der dem DECnet einmal installiert, so stehen folgende
Anwender je nach Typ unterschiedliche Kom- Dienste zur Verfiigung: Gemeinschaftliche Nut-
munikationsleistungen anbietet. Insgesamt gibt zung teurer Peripherie (z. B. Laserdrucker oder
es sechs verschiedene LU-Typen, die den Daten- Massenspeicher); Systeme im Netz konnen frei-
austausch zwischen den Anwendern aus der ziigig Informationen iiber das Netz austauschen;
Sicht der Anwender beschreiben. Von besonde- kleinere Stationen konnen groBere Stationen
rer Bedeutung ist der Kanal LU 6.2, der eine mit Daten beliefern und mit der Durchfuhrung
gleichberechtigte Kommunikation zwischen aufwendiger Berechnungen beauftragen. Von
Applikationen in verschiedenen Systemen er- den Terminals eines Knotens aus hat man
moglicht. Dies ermoglicht eine besondere Zugriff auf Dienste von eigenen und fremden
Schnittstelle APPC (Advanced Program to Netzen. Uber Gateways kann mit der SNA-
Program Communication). Auf ihr basieren die Welt oder mit X.25-Netzen kommuniziert wer-
wichtigsten IBM-Biirokommunikationsproduk- den.
te. Deshalb hat die LU 6.2/APPC-Schnittstelle Wie Bild 16-27 a zeigt, entsprechen die unteren
den Rang eines Industriestandards erhalten. vier Schichten des DNA-Modells weitgehend
Die Schnittstelle macht den IBM-Token-Ring dem OSI-Modell. Die Aufgaben der sechsten
zum Teil eines SNA-Netzes. Uber die LU 6.2/ Schicht (Network-Application Layer) werden
APPC-Schnittstelle erfolgt die Anbindung der
beim OSI-Modell in den Schichten 4 und 5 ab-
PC an die GroBrechner. Die meisten Hersteller
gehandelt. Die universelle Ein/Ausgabe-Spra-
von Netzen bieten inzwischen Gateways (Ab-
che dagegen wird beim OSI-Modell ebenfalls in
schn. 16.5.11) fiir diese Schnittstelle an. Aus Bild
der Schicht 6 definiert.
16-27 a ist die Lage der LU 6.2 mit der APPC-
Schnittstelle und die Lage der PU 2.1 im SNA- Das DNA-Modell enthalt die Festlegungen,
Modell im Vergleich zum OSI-Modell ersicht- welche die Zusammenarbeit zwischen den ver-
lich. schiedenen Hard- und Softwarekomponenten,
aus denen DECnet besteht, steuern. Das DNA-
Modell hat acht Schichten, wobei die Schichten
1 bis 6 in etwa dem OSI-Modell entsprechen,
16.5.7 DNA-Modell wahrend die siebte Schicht des OSI-Modells
(Digital Network Architecture) den Schichten 7 und 8 des DNA-Modells
Das DNA-Modell bietet Dienste fiir DECnet entspricht (Bild 16-27 a). Die einzelnen DNA-
(Netzwerk fur DEC-Rechner) an. Ein Netz im Schichten sind:
DNA-Modell besteht aus mindestens zwei
Knoten, die durch unterschiedliche Kommuni- 1. Physical Layer
kationseinrichtungen verbunden sein konnen Diese Schicht definiert die Art der Dateniiber-
(z. B. lokale Netze oder Satellitenverbindun- tragung zwischen den einzelnen Geraten. Die
gen). Im Bereich lokaler Netze stiitzt sich das Moduln dieser Schicht stellen der nachsthohe-
DECnet auf eine Ethernet-Infrastruktur. Netz- ren Schicht Dienste zur Verfiigung, die ihr In-
werke mit mehr als 1023 Knoten kann man formationen iiber den Ablauf einer Verbindung
dabei als areas (zusammenhangende Gruppen) geben. Dazu gehoren beispielsweise die Be-
bezeichnen. Von DECnet werden dabei maxi- handlung von Hardwareinterrupts oder die
mal 63 areas unterstiitzt. Diese Einschrankung Uberwachung der Signale auf den Kanalen.
beruht auf der beschrankten Lange der DECnet-
Adressen von 16 bit. Die einzelnen Knoten im
DECnet sind gleichberechtigt, d. h. jeder Kno- 2. Data-Link Layer
ten kann mit jedem anderen kommunizieren, Diese Schicht stellt Dienste zum Errichten von
ohne eine zentrale Instanz zu befragen. Da- moghchst fehlerfreien Verbindungen zwischen
durch kann jeder Teilnehmer leicht Zugriff zu zusammenhangenden Knoten zur Verfugung.
Anwendungen bekommen, die irgendwo im Mogliche Kommunikationswege sind dabei
Netz auf einer Maschine reahsiert sind. Dabei eine Verbindung nach X.25, eine Ethernet-Ver-
konnen eine ganze Reihe vollig verschiedener bindung oder eine Verbindung nach DDCMP
Rechner und Betriebssysteme Knoten im DEC- (DDCMP: Digital Data Communication Mes-
net werden (nicht nur DEC-Rechner). Ist ein sage Protocol).
594 16 Schnittstellen, Bussysteme und Netze

3. Routing Layer 8. User Layer


Hier ist bereits die Software definiert, mit der Die Schicht umfaBt Programme und Dienste,
ein optimaler Weg zwischen zwei Knoten gefun- die auf das Netz zugreifen und so Anwendungen
den wird. AuBerdem bietet die Schicht eine unterstiitzen. Dazu gehoren File-Transfer, Da-
KontroUe liber die Belastung des Netzes und tenbank- und Netz-Management.
die Lebensdauer von Datenpaketen im Netz.

4. End-to-End-Communication Layer 16.5.8 Zugriffsverfahren bei Netzen


Der dariiberliegenden Schicht werden Dienste Eines der wichtigsten Merkmale bei der Unter-
fur die systemunabhangige Kommunikation ge- scheidung der verschiedenen Netze ist die Art
boten. Dazu gehoren das Verbindungs-Mana- des Zugriffsverfahrens. Tabelle 16-2 zeigt die
gement, die FluBkontrolle, die Ende-zu-Ende- Verfahren, beschreibt den Ablauf des Verfah-
Fehlerkontrolle, das Zerlegen der Nachrichten rens, ihre Normen und ihre Ubertragungsge-
in Pakete sowie das Zusammensetzen ankom- schwindigkeiten.
mender Pakete zu einer Nachricht. Die Zugriffsverfahren befmden sich auf der
zweiten Ebene des OSI-Modells. Man unter-
5. Session-Control Layer scheidet dabei zwischen kollisionsbehafteten Zu-
In dieser Schicht werden symbohsche Namen in griffsverfahren und kollisionsfreien (mit Sende-
Adressen ubersetzt, Prozesse angebunden und berechtigungsmarke; token). Unter einer Kolh-
eine ZugriffskontroUe ausgefuhrt. sion versteht man dabei den Zustand, der
auftritt, wenn zwei Teilnehmer-Stationen gleich-
6. Network-Application Layer zeitig anfangen zu senden. Bei einem kolhsions-
freien Netz darf nur mit einer Sendeberechti-
Diese Schicht erlaubt es, iiber eine universelle gungsmarke gesendet werden.
Ein-Ausgabesprache Dateien zu transportieren,
Zugriffe auf Gateways durchzufuhren und Ter-
16.5.8.1 KoUisionsbehaftete Verfahren
minalfunktionen auszufuhren.
CSMA/CD-Verfahren
7. Network Management-Layer
Beim CSMA/CD-Verfahren (Carrier Sense
Hier sind Funktionen definiert, mit denen man Multiple Access with ColHsion Detection) han-
KontroU- und Wartungsfunktionen ausfuhren delt es sich um ein kolhsionsbehaftetes Verfah-
kann. Dazu gehoren beispielsweise die Vertei- ren mit stochastischem Zugang, d. h. ohne fest-
lung von Zugriffsrechten auf Ressourcen, Tests gelegte Reihenfolge. Auf diese Weise will man
von Netzverbindungen oder Netzstatistiken. mogHchst vielen Teilnehmern mit moglichst we-

Tabelle 16-2. Zugriffsverfahren bei Netzen.

Bezeichnung Verfahren Normen Ubertragungs-


geschwindigkeit
MBit/s

1 ' S CSMA/CD Mithoren und Senden ISO 8802/3 1 bis 100


o o ^
CSMA/CA bei freier Leitung 10 bis 400

1
Token Bus beide Richtungen ISO 8802/4
Token Ring nur eine Richtung ISO 8802/5 1, 4 und 16
Slotted Ring Nachrichtencontainer ISO 8802/7 10,43
FDDI-I Token Ring mit Glasfaser ANSI X3T9 100
QPSX/DQDB Doppelbus ISO 880216 150
C/5
ATMR Doppelring 622
16.5 Netze 595

1
nigen Einschrankungen jederzeit den Zugang Zur Schicht 3
zum Netz ermoglichen. Bei diesem Verfahren
priift jede Station durch standiges Mithoren
(carrier sensing) den Bus, ob gerade Daten LLC LLC
iibertragen werden. 1st die Leitung frei, so kann
die Ubertragung beginnen. I
MAC
I
MAC
Dabei ist es auch moglich, daB zwei oder meh-
rere Stationen gleichzeitig zu senden beginnen,
was dann zu einer Kollision fuhrt. Bei einer sol-
I
PLS
-c^
f—-Kollision
PLS
chen Kollision wird die Ubertragung sofort ab-
gebrochen und ein Storsignal gesendet, worauf-
hin alle anderen sendenden Stationen ebenfalls Bild 16-28. Vermittlungsschichten.
sofort den Sendevorgang abbrechen. Nach einer
zufallig gewahlten Zeitspanne kann dann jede Wie Bild 16-28 zeigt, stellt die MAC-Zwischen-
sendewillige Station erneut eine Dateniibertra- schicht hierbei Dienste bereit, die es einer loka-
gung beginnen. So laBt sich eine erneute Kolli- len LLC-Instanz erlauben, Daten mit einer
sion vermeiden. anderen LLC-Instanz auszutauschen. Dazu ste-
Dadurch entfallt die Notwendigkeit einer Netz- hen eine Sendefunktion und eine Empfangs-
verwaltung, d. h. es existiert keine zentrale In- funktion zur Verfiigung. Die MAC-Zwischen-
stanz, die Steuerungs- und Verwaltungsfunk- schicht iibernimmt Daten von der LLC-In-
tionen im Netz ausiibt. Bei diesem Zugriffsver- stanz, fiigt ihre Informationen an und liefert
fahren stehen verschiedene Ubertragungstech- einen seriellen Bitstrom an die Bitubertragungs-
niken und -medien zur Verfiigung, die Uber- schicht.
tragungsgeschwindigkeiten von 1 MBit/s bis Diese Daten werden von der PLS-Zwischen-
20 MBit/s erlauben. schicht iibernommen und von ihr an das physi-
kahsche Medium iibertragen. Die PLS-Zwi-
Die Bitiibertragungsschicht ist bei CSMA/CD-
schenschicht ist dann zustandig fiir die kolh-
Verfahren, wie auch die Sicherungsschicht, in
sionsfreie Ubertragung. Ist keine kolhsionsfreie
weitere Zwischenschichten unterteilt. Zwei
Ubertragung moghch, so wird dieses der MAC-
wichtige Schnittstellen hierbei sind die Medium-
Zwischenschicht gemeldet, die daraufhin einen
Schnittstelle MDI (Medium Dependent Inter-
face) und die Schnittstelle zur Anschlufieinheit erneuten Sendeversuch einleitet. Erst nach einer
AUI (Attachment Unit Interface). Diese beiden bestimmten Anzahl von Fehlversuchen wird
Schnittstellen ermoglichen es, die AnschluBein- eine Fehlermeldung an die dariiberliegende
heiten individuell an die entsprechenden Me- LLC-Zwischenschicht weitergeleitet.
dien und Ubertragungsgeschwindigkeiten an- Die Dienste, welche die MAC-Zwischenschicht
zupassen. der LLC-Zwischenschicht anbietet, sind unab-
hangig vom verwendeten Zugriffsverfahren und
Die LLC-Zwischenschicht stellt der Vermitt- stellen deshalb einen Konverter der verschiede-
lungsschicht Dienste bereit, die es erlauben, Da- nen Zugriffsverfahren fiir die dariiberhegenden
tenpakete mit einer anderen Vermittlungsschicht Schichten dar. Die verschiedenen Ubertra-
auszutauschen. Dabei werden folgende zwei gungsgeschwindigkeiten beim CSMA/CD-Ver-
verschiedene Diensttypen unterstiitzt, die einen fahren sind in Tabelle 16-3 angegeben.
groBen Bereich von Anwendungen umfassen:
Der erste Typ unterstutzt einen verbindungs-
CSMA/CA-Verfahren
losen Dienst (unacknowledged connectionless
mode), der einer nichtgesicherten Verbindung Das CSMA/CA-Verfahren (Carrier Sense Mul-
mit minimalem Protokollaufwand entspricht. tiple Accesses with Collision Avoidance) arbei-
Der zweite Typ entspricht einem verbindungs- tet bei den Teilnehmern mit paarweise unter-
orientierten Dienst (connection-orientated schiedhchen Verzogerungszeiten. Dadurch tritt
mode), der eine gesicherte Systemverbindung nach einer erfolgten Kollision keine weitere
herstellt. Die Verbindung zwischen diesen Kollision mehr auf. Eine Prioritatenregelung er-
Schichten ist in Bild 16-28 dargestellt. teilt dabei dem nachsten sendewilhgen Teilneh-
596 16 Schnittstellen, Bussysteme und Netze

Tabelle 16-3. Ubertragungsmedien bei CSMA/ 100 MBit/s und verschiedene Ubertragungsme-
CD-Verfahren. dien, z. B. LWL, Koaxialkabel).
Bezeichnung Ubertragungsmedium
16.5.8.2 KoUisionsfreie Verfahren
10BASE5 Koaxialkabel (50 Q), Basisband-
iibertragung mit 10 Mbit/s, Das Token-Passing-Zugriffsverfahren ist ein kol-
maximale Leitungslange 500 m. Hsionsfreies Zugriffsverfahren, d. h. es kommt
Abzweigung uber Standard- nicht mehr zu Konfliktsituationen, wenn zwei
Typ-N Oder Tap-Verbinder Oder mehrere Teilnehmer auf dasselbe Ubertra-
(Thick-wire-Ethernet). gungsmedium zugreifen wollen. Dies wird da-
durch erreicht, daB man ein charakteristisches
10BASE2 Koaxialkabel (50 Q), Basisband-
Bitmuster, namlich die Sendeberechtigungs-
iibertragung mit 10 Mbit/s,
maximale Leitungslange 200 m. marke (Token) von Station zu Station weiter-
Abzweigung iiber BNC-Steck- gibt. Diese Sendeberechtigungsmarke signali-
verbindungen (Thin-wire- siert alien Stationen, die sie passiert, daB sie in
Ethernet bzw. Cheapernet). Sendebereitschaft gehen diirfen. Bei den Token-
passing-Zugriffsverfahren ist es notwendig, daB
lOBASET verdrillte Doppeladerleitung, jede Station die Adresse ihrer Vorganger- und
Basisbandiibertragung,
10 Mbit/s, maximale Leitungs- Nachfolgestation kennen muB. Somit entsteht
lange ca. 70 m. bei diesem Zugriffsverfahren immer ein logi-
scher Ring, auch wenn die Stationen einen Bus
lOBASEFO Lichtwellenleiter, Basisband- als Ubertragungsmedium benutzen.
iibertragung mit 10 Mbit/s. Token-Passing-Systeme eignen sich auch her-
1 BASES Doppeladerleitung, Basisband- vorragend zum Einsatz in der Fertigungssteue-
iibertragung mit 1 Mbit/s, rung, da man dort maximale Wartezeiten bis
maximale Leitungslange 500 m, zum Zugriff vorgeben kann. Die Buszugriffs-
sternformige Anordnung um kontroUe (medium access control) umfaBt dabei
gemeinsame Zentrale (Starlan). folgende Teilfunktionen:
10BROAD36 Koaxialkabel (CATV, 75 Q), - Time-out-Uberwachung fiir Tokenempfang,
Breitbandiibertragung (FM), - verteilte InitiaUsierung,
Ein- und Zweikabelsysteme, - Time-out-Uberwachung fur Tokenbesitz,
maximale Leitungslange 3600 m. - Datenpufferung,
Verwendung von Typ-F- - AdreBdekodierung,
Verbindern. - Nachrichtensynchronisierung,
lOOBASEVG Koaxialkabel, LWL, - Fehlererkennung und
100 MBit/s, CSMA/CD - Einfugen neuer Teilnehmer in die Tokenkette.
In Bild 16-29 sind die einzelnen Zugriffsverfah-
mer die Sendeerlaubnis. Wird diese Prioritat ren zusammengestellt.
nicht genutzt, so hat wieder jeder Teilnehmer
freien Zugriff auf das Obertragungsmedium. Token-Bus-Zugriffsverfahren
Dieses Verfahren hat den Vorteil, daB es hohere Beim Token-Bus-Zugriffsverfahren handelt es
Datendurchsatzraten und geringe Kollisionen sich um das oben beschriebene Token-passing-
pro Zeiteinheit erlaubt. AUerdings werden Teil- Zugriffsverfahren. Die physikahsche Struktur
nehmer mit niedrigen Prioritaten benachteiligt. entspricht hier einem Bus. Stationen, die physi-
Da dieses Verfahren jedoch sehr wenig Flexibi- kaUsch an den Bus angeschlossen sind, konnen,
Utat gegenuber Veranderungen der Teilnehmer- sofern sie noch nicht in den logischen Ring inte-
zahl bietet, hat es keine starke Verbreitung ge- griert sind, zwar die Vorgange auf dem Bus mit-
funden. horen (monitoring), erhalten jedoch keine Sen-
Einziger Vertreter ist zur Zeit der HYPER- deberechtigungsmarke und konnen deshalb
Channel der Firma Network Systems, der als nicht senden.
Backbone-Netz eingesetzt wird, um heterogene Wie Bild 16-29a zeigt, sind die Stationen paral-
Netze aufzubauen (Ubertragungsrate von bis zu lel an den Bus angeschlossen; die Daten werden
16.5 Netze 597

a) Weitergabe beim Token-Bus


und eine neue Sendeberechtigungsmarke er-
zeugt, die dann wieder im Netz herumgereicht
wird.
1 H 3 \ Bei diesem Verfahren ist als Ubertragungsme-
r--i
\ rn
MTW
dium auch die Verwendung von Lichtwellenlei-
tern moghch. Ein Problem ergibt sich bei stei-
/ gender Zahl von Teilnehmern wegen der immer
groBer werdenden Umlaufzeit. Das Token-
Ring-Zugriffsverfahren ist im ISO-Standard
b) Weitergabe beim Token-Ring
8802/5 festgelegt. Der AnschluB der Station an
den Ring erfolgt iiber eine Schnittstellenleitung
^' MIC (Medium Interface Cable).
Die defmierten Ubertragungsgeschwindigkei-
ten sind dabei 1 MBit/s, 4 MBit/s und 16 MBit/s,
t=j wobei das Ubertragungsmedium eine verdrillte
Doppeladerleitung (Telefonleitung) ist. Die
Ubertragung erfolgt als Basisbandubertragung.
Bild 16-29. Zugriffsverfahren. Die Stationsverwaltung iiberwacht und steuert
dabei die Arbeit der Zugriffssteuerung und der
moduliert libertragen (Breitbandiibertragung). Bitiibertragungsschicht.
Die Kommunikation fmdet dabei auf zwei Tra- Eine besondere Weiterentwicklung des Token-
gerfrequenzen statt, die eine Aufgliederung in Ring-Zugriffsverfahrens ist durch den Einsatz
einen Sende- und Empfangskanal ermoglichen von Lichtwellenleitern gegeben, da die physika-
und somit eine Ubertragung in zwei Richtun- hsche Ringstruktur dies problemlos gestattet.
gen gestatten. Werden neue Stationen an das Es entsteht dadurch das FDDI-Netzwerk (Fibre
Netz angekoppelt, so muB eine Initialisierungs- Distributed Data Interface), das eine Ubertra-
phase ablaufen, um die Adressen zu reorganisie- gungsgeschwindigkeit von 100 MBit/s erreicht.
ren. Dieses Verfahren ist im ISO-Standard
8802/4 festgelegt. Slotted-Ring
Beim Slotted-Ring, auch Cambridge-Ring ge-
Token-Ring- Verfahren nannt, teilt man den Ring in Schlitze (slots) fe-
Hierbei handelt es sich ebenfalls um ein Token- ster zeitlicher Lange, welche Nachrichten iiber-
passing-Verfahren, bei dem die Teilnehmer mitteln konnen (Bild 16-30). Durch Verwen-
nicht nur logisch, sondern Siuch physikalisch im dung von Schieberegistern wird die Bitumlauf-
Ring verbunden sind (Bild 16-29 b). Das Token- zeit im Ring kiinstlich erhoht. So lassen sich
Ring-Verfahren iibertragt im Gegensatz zum mehr Bits auf dem Ubertragungsmedium dar-
Token-Bus-Verfahren nur in einer Richtung bit- stellen.
seriell. Erhalt dabei eine Station die im Ring Nachrichten-Container
voll
kreisende Sendeberechtigungsmarke, so kann
sie, falls sie eine Nachricht libertragen will, das
Frei-Token in ein Belegt-Token umwandeln,
ihre Nachricht mitsamt Zusatzinformationen
anhangen und an die nachste Station iiberge-
ben.
Dieses Datenpaket wird solange weitergereicht,
bis es den Empfanger erreicht hat. Der Empfan- Nachrichten-Container
ger kopiert dann die Nachricht und schickt das Bild 16-30. Slotted-Ring.
Datenpaket mit einer Empfangsquittierung
weiter. So gelangt das Datenpaket wieder zum Wie Bild 16-30 zeigt, erzeugt man durch die
Absender und dieser kann nun die Nachricht Schlitze umlaufende Nachrichtencontainer. Jede
iiberpriifen. Ist kein tJbertragungsfehler aufge- der am Ring beteiligten Stationen darf einen an
treten, wird die Nachricht vom Ring entfernt ihr vorbeikommenden leeren Container mit
598 16 Schnittstellen, Bussysteme und Netze

a) MAC-und LLC-Schicht b) FDDI als Backbone-Netz

IEEE 802.2 LLC | ISO Layer 2


1 ^ GebaudeI
1 LAN
1 SMT MAC 1 Data Link
^ • Packet Interpretation Layer
• Token Passing 1
• Monitor Ring • Packet Framing |
i t
SMAP •M* Manage Ring PHY 1
4* • Encode/Decode ISO Layer 1
• Clocking 1
•Configure
Ring i T TOKEN
RING TOKEN
•Connection PMD 1 r^^^ RING
• Waveforms Physical
Management H " Gebauden
• Optical Signals 1 Layer ETHERNET
• Connenctors | LAN
FDD! BRIDGE 1 T
c) FDDI-Modi d) FDDI fijr verschiedene Systemdaten
DoD OSI
Empfanger
1 Quelle 1 7 Application
SMTP X400
A C Ethernet 6 Presentation FTP FTAM
TELNET VTS
5 Session
/
456 123 Daten
Empfanger '—H
' 1 '
4 Transport TCP ISO8073KLASSE4
Quelle
Encapsulation Mode

Empfanger
I Quelle
1^ Network IP ISO 8473

2 Data Link
789123 Daten FDDI
1 Physical
OSI-Mode

Bildl6-3L FDDI-L
Nachrichten fiillen. Hat ein solcher Container (MST), der mit 100 MBit/s arbeitet und dabei
den Ring umrundet, so wird er wieder geleert. sowohl die synchrone Ubertragung des Slotted
Wiirde man die Anzahl der Container auf einen Ring benutzt als auch den asynchronen Dienst
verringern, so erhielte man das Token-Ring-Zu- des FDDI-L
griffsverfahren. Somit wird deutlich, daB beim
Slotted-Ring die Zugangszeit auf das Ubertra- FDDI-I
gungsmedium wesentlich kiirzer ist als beim Das FDDI nach ANSI X3T9 (Fiber Distribut-
Token-Ring, weil die Zeit, bis ein leerer Contai- ed Data Interface) ist eine Sonderform des
ner vorbeikommt, wesentlich kurzer ist. Token-Ring-Netzwerkes, das ein doppeltes
Nachteilig wirkt sich nur die begrenzte Aufnah- Glasfaserkabel zur Ubertragung benutzt und
memoglichkeit der Container und eine hohe mit einer Ubertragungsgeschwindigkeit von
Redundanz aus, da in jedem Container die 100 MBit/s arbeitet. Dabei konnen die einzel-
Adressen- und Steuerdaten mitgeschickt wer- nen Segmente des Netzes bis zu zwei Kilo-
den miissen. So muB eine Nachricht oft in viele metern lang sein, wahrend das ganze Netz sich
Einzelteile zerlegt werden, damit diese in die iiber hunderte von Kilometern erstrecken kann.
Container paBt. Der Slotted-Ring ist im ISO Der FDDI-Standard umfaBt die beiden unter-
Standard 8802/6 festgelegt, wobei Ubertra- sten Schichten des OSI-Modells (physical layer
gungsraten von 10 MBit/s und 43 MBit/s defi- und data link layer) und bietet deshalb der dar-
niert sind. iiberliegenden Schicht der Netzwerkebene eine
Eine Erweiterung des Slotted-Ring-Verfahrens transparente Verbindung. Dabei kann man an-
ist der Multiplexed Slotted and Token Ring dere Sicherungs- und Leitungsprotokolle (link
16.5 Netze 599

und physical layer) entweder umsetzen (OSI- AT MR (Asynchronous Transfer Mode Ring)
Mode) Oder in FDDI-Datenpakete verpacken
(Encapsulation-Mode). ATMR basiert auf einem Verfahren, das dem
Slotted-Ring ahnelt. Das System besteht aus
Die physikalische Ebene des FDDI-Standards einem Doppelring, und es werden zur Uber-
wird in zwei Teilebenen zerlegt, den PHY- tragung der Nachrichten, wie bei ISDN und
Sublayer (PHYsical) nach ISO IS 9314-1 und DQDB, 53 Byte groBe Zellen benutzt. Dadurch
den PMD-Sublayer (Physical Media Dependent ist ein Ubergang zu diesen Verfahren sehr ein-
nach ISO IS 9314-3). Die PHY-Teilebene ist da- fach herzustellen. Bei ATMR werden die Zellen
bei zustandig fur die Kodierung der Daten und in zwei Prioritatsklassen eingeteilt, eine asyn-
die Taktversorgung des Interfaces. Bei der Takt- chrone Klasse und eine synchrone Klasse. Da-
versorgung gibt es beim FDDI-Standard eine durch ermoghcht ATMR gleichzeitig die Uber-
Besonderheit gegeniiber einem einfachen Token- tragung von Daten (asynchron, nicht zeitkri-
Ring-Netz. Im Gegensatz zum Token-Ring- tisch) und Sprache, bzw. Video (synchron, zeit-
Netz mit einer zentralen Taktversorgung wird kritisch). Als Ubertragungssystem wird ein 622-
beim FDDI, aufgrund der hohen IJbertragungs- MBit/s-SDH benutzt, die Spezifikationen fiir
geschwindigkeit, der Takt in jedem Interface das Ubertragungssystem stehen in den CCITT-
selbst erzeugt. Die Synchronisierung erfolgt dann Empfehlungen G-707/8/9. Ein internationaler
mit dem hereinkommenden Bitstrom iiber ei- Standard ist z.Z. bei ISO in Arbeit und soil
nen Clock Synchronizer. Durch die verwendete 1994 als vorlaufiger Standard verabschiedet
NRZ-Kodierung (Non Return to Zero; ein Kode, werden.
bei dem der Signalpegel nur dann einen Null-
durchgang vollzieht, wenn eine „digitale Bins"
iibertragen wird) und die vorhergehende 4B/ QPSX/DQDB-Konzept
5B-Kodierung der Daten kann ein DPLL (Digi- Das QPSX/DQDB-Konzept (Queued Packet
tal Phase Locked Loop) spatestens nach jedem and Synchronous Switch/Distributed Queue
zweiten iibertragenen Bit einen Nulldurchgang Dual Bus nach ISO 8802/6 ist das neueste Zu-
feststellen und darauf synchronisieren. griffsverfahren und stellt ein Mehrdienste-LAN
Die physikalische Ankopplung an das Medium mit synchronen und asynchronen Diensten dar
erfolgt in der PMD-Schicht (Physical Medium (Bild 16-32).
Dependent) iiber Zweifaseranschlusse mit opti- Das Konzept basiert (im Gegensatz zu FDDI)
schen Verstarkern (Transceiver) und optischen auf einer Doppelbus-Topologie und arbeitet
By-Pass-Schaltern. Die Transceiver arbeiten mit einer Bandbreite von 150 MBit/s. Zu offent-
mit Leuchtdioden bei einer Wellenlange von hchen Netzen stellt man Schnittstellen nach
1300 nm. Liegt keine Versorgungsspannung an, CCITT G-702 und SONET (Synchronous Op-
so baut der By-Pass-Schalter einen optischen tical NETwork nach CCITT G-707/8/9) bereit.
By-pass auf. Die Sicherungsebene (link layer) Auch SDH (Synchronous Digital Hierarchy)
wird ebenfalls in zwei Teilebenen aufgespalten, stehen zur Verfiigung, um offentliche Ubertra-
in die Zugriffskontrollebene (Media Access gungssysteme zu nutzen. QPSX/DQDB be-
Control Sublayer, FDDI-MAC) nach ISO nutzt eine Pulsrahmen-Technik. Der Pulsrah-
IS 9314-2 und in die Strukturierungsebene men (MAC Cycle Frame), der wiederum in slots
(Logic Link Control Sublayer, FDDI-LLC fester Lange eingeteilt (s. Slotted-Ring) ist, wird
Type 1) nach ISO IS 8802-1. vom Rahmengenerator erzeugt und synchron
Die MAC-Teilebene bietet der dariiberliegen- auf beiden Bussen gesendet. Dabei entstehen
den LLC-Schicht iiber den FDDI-Ring eine zwei verschiedene Arten von Slots, einmal der
Punkt-zu-Punkt-Verbindung. Die Daten fafit Queued-arhitrated-Slot (QA-Slot) der fiir asyn-
man dabei zu Blocken (frames) zusammen, die chrone Ubertragungen geeignet ist und zum an-
eine Lange von maximal 9000 Zeichen haben deren der Non-arbitrated-Slot, der fiir die syn-
konnen und an die Struktur der ISO 8802-Rah- chrone Ubertragung zustandig ist. Die Statio-
men angelehnt sind. Die Erweiterungen dabei nen entscheiden dabei selbstandig, ob sie einen
sind die Felder Frame Control (FC) und Frame vorbeikommenden QA-Slot fiillen. Bedingt
Status (FS: Anzeige der Ubertragungsart (syn- durch die Busstruktur entsteht eine Selbsthei-
chron oder asynchron) und der Prioritat). lungsfunktion beim Ausfall eines Teilsystems.
600 16 Schnittstellen, Bussysteme und Netze

a) Funktionsweise 16.5.9.1 MAP-Standard


(Bitbus zur Fertigungssteuerung)
Rahmengenerator
Die industrielle Fertigung automatisiert man
mit Hilfe des Computer Integrated Manufac-
turing (CIM). Da die Verwendung verschiede-
ner Rechnersysteme und Ubertragungsproto-
kolle beim CIM-Einsatz erhebliche Schwierig-
keiten bereiten, wurde mit MAP (Manufactur-
ing Automation Protocol) ein Kommunikations-
standard erarbeitet, der Schnittstellen und
Ubertragungsprotokolle umfaBt. Als Sprache
dient MMS nach ISO IS 9506 (Manufacturing
Message Specification). Bild 16-34 a zeigt MAP
150Mbit/s
als Teil von CIM mit der Sprache MMS. In Bild
b) Prinzip der verteilten Warteschlangen 16-34b ist eine typische Anwendung fur eine
Fertigungszelle zu sehen. MMS schickt die
Befehle „Werkstuck aufspannen", „Programm

Bus1
emiedrigen bei jedem lee - a) CIM, MAP und MMS
ren Slot
Sende- CIM
Anforderungs-
zahler sende- Zahler
willig
erhohen bei Anforderung durch andere Station h Fabrik-DatenbankAnzeigeund
Bus2i [- Qualitatssicherung Kontrolle

•I h
|-
Flexible Fertigung
Roboterverwaltung
ProzeBfiJhrung
Lager, Logistik und Verteilung
Bild 16-32. QPSX/DQDB-Konzept.
1 Objektorientierte Sprache
(ISO IS 9506-1988)
^-Standards fur CNC, Roboter
16.5.9 MAP- und TOP-Standards
b) MMS-Dienste fur eine Fertigungszelle
Die zur Zeit einzigen voUstandigen Standardi-
Fertigungsleitstand
sierungsvorschlage zur innerbetrieblichen Ver-
netzung sind die MAP- und TOP-Standards
(MAP: Manufacturing Automation Protocol; I
MMS-Dienste
TOP: Technical and Office Protocol). Wie aus
• „Werkstuck aufspannen" • Produktionsinformationen
den Namen hervorgeht, dient der MAP-Stan- • „Bearbeitungsprogramm • Maschineninformationen
dard zur Automatisierung der Fertigung (z. B. laden" • Status- und Fehlermeld.
• „Bearbeitungsprogramm • „Werkstuck bearbeitet"
bei der Roboteransteuerung), und der TOP- starten" ^,
Standard dient der Kommunikation zwischen • „Werkstuck abspannen" T
technischen und kaufmannischen Abteilungen. MAP-Netz
Bild 16-33 a zeigt die Lage der MAP- und TOP-
Standards im OSI-Schichtenmodell sowie die MMS MMS MMS
Moglichkeiten der Verkabelung. Grau hinter- Bearbei- Bild-
legt sind die wesentlichen Telle des MAP-Stan- tungs- Roboter ijber
Teile-
Lager
dards. In Bild 16-33 b sind die MAP- und TOP- zentrum wachung
Standards in alien Schichten vergleichend ge-
geniibergestellt und in Teilbild 16-33 c die An- Bild 16-34. MAP im Umfeld von CIM am Beispiel
wendungsschicht (Ebene 7) ausfiihrlicher erlau- einer Fertigungszelle.
tert. (Quelle: EUMUG)
16.5 Netze 601

a) MAP und TOP im OSI-Modell

TOP 1
:MAP
Directory Virtuelles
Services Netzwerk- FTAM Bildschirm-
MMS Management
/ X.500 protokoll MHS
X.400
ACSE

6 Darstellungsschicht (presentation)

5 Kommunikationssteuerungsschicht (session)

4 Transportschicht (transport)

3 Vermittlungsschicht (network)

Sicherungsschicht (link)
2 Token-Bus X.25
8802/3
8802/4

: Basisband Breitband Basisband Basisband


1
5MBit/s 10MBit/s 10MBit/s 4MBit/s
75Q Coax 75Q Coax 50Q Coax verdrillte Leitung

MAP

TOP

Basisband: Token-Bus 802.4 5MBit/s Breitband: Bild, Ton, TOP, MAP, sonstige Dienste
maximal 700 m, 32 Knoten Token-Bus 802.4 10 MBit/s
MAP-Unternetze oder kleine MAP-Anwendungen maximal 38km.
Als Backbone-Netz einer Fabrik
b) Normen c) MAP- und TOP-Dienste
Schicht MAP-Standard TOP-Standard Bezeichnung Bedeutung Normen
7 Appli- Companion FTAM Ubertragen und Bearbeiten ISO PP 8571
FTAM ISO
cation Standards File Transfer von Dateien. Dateien aus
DIS 8571
SPS NC RC Access and verschiedenen Systemen
Directory Management
MHS CCITT haben gleiches Format
MMS Service
X.400
(ISO DP 9506)
ACSE ISO DP MMS SprachefurdieFabrik- ISO DIS
FTAM automatisierung.
8649/1-3 Manufacturing 9506
(ISO DIS 8571) Standards fur CNC und
Message
CASE (ISO DIS 8650/2, Specification Roboter
8649/2) ASCE
ACSE Verbindungsaufbau ISO DP
6 Presentat. ISO DIS 8822, 8823 Presentation Kernel Association zwischen 8649/1-3
5 Session ISO IS 8326,8327 Session Kernel Full Duplex Control unterschiedlichen
Service Systemen
4 Transport ISO 8072, 8073 Transport Class 4 Element

3 Network ISO DIS 8348, 8473 Connectionless Internet MHS Mitteilungsubermittlung CCITT X.400
ISO 8802/2 LLC ISO 8802/2 LLC (CSM-M Message Kommunikation zwischen
2 Data Link ISO 8802/4 MAC ISO 8802/3 MAC CD) handling Benutzern (mailing)
1 Physical ISO 8802/4 Token Bus 10 Baseband System

Bild 16-33. MAP- und TOP-Standards im OSI-Modell.

laden", „Programm starten" und nach Fer- Status- und Fehlermeldungen der Maschine
tigungsende den Befehl „Werkstuck abspan- und einzelne Maschinen- und Produktions-
nen" iiber das MAP-Netz zu den Maschinen. informationen.
Von den einzelnen Maschinen wird in MMS In Bild 16-35 ist ein Beispiel fiir MAP (und
dem Fertigungsleitstand beispielsweise mitge- TOP) innerhalb eines Fabriknetzes dargestellt.
teilt: ,,Werkstuck bearbeitet", sowie eventuelle Daraus ist zu sehen, daB uber das MAP-Breit-
602 16 Schnittstellen, Bussysteme und Netze

WAN
X,25

Feldbus
Bearbeitungszentrum

Sensor 1 • • • Sensor n

Bild 16-35. MAP-Netz in einer Fabrik. (Quelle: EUMUG)

band die wichtigsten Informationen ausge- Zugriffsverfahren keine maximale Zugriffszeit


tauscht werden. Daran angehangt sind Unter- festlegen kann, ist ein Netz nach dem TOP-
netze fur die einzelnen Anwendungen. Interes- Standard fur eine Fertigungssteuerung ungeeig-
sant ist auch die Ankopplung an ein Netz von net. Das TOP-Protokoll ist, wie das MAP-Pro-
auBen (WAN), das iiber einen Router (Abschn. tokoU, eine Implementierung der Schicht 7 des
16.5.11, Bild 16-38 a) an das MAP-Breitband- OSI-Modells. Dabei werden die folgenden Pro-
netz angeschlossen ist. tokolle benutzt (Bild 16-33 a und c): jVLHS
In Tabelle 16-4 sind fiir das 7-Schichten-OSI- (Message Handling System), Mitteilungs-lJber-
Modell die einzelnen MAP-Schichten mit ihren mittlungs-System nach CCITT X.400 fiir die
Normen beschrieben. OSI-Schichten 6 und 7; ACSE (Association
Control Service Element) nach ISO DP 8649/
16.5.9.2 TOP-Standard 1-3 als Basis fiir die Schicht 7 und FTAM: (File
Ein weiterer Standard zur Schaffung einer ein- Transfer Access and Manipulation) zum Datei-
heitlichen Benutzeroberflache bei unterschied- transfer und zur Dateibearbeitung nach ISO
lichen Netzwerken ist das TOP-Protokoll DP 8571.
(Technical and Office Protocol). Das TOP- Bild 16-36 zeigt die hierarchische Ghederung
Konzept verwendet zur IJbertragung ein Basis- eines Unternehmens als Firma, deren Fabrik
bandverfahren nach ISO 8802/3 vom Typ betrachtet wird, die wiederum verschiedene Be-
10BASE5. Als Zugriffsverfahren kommt dabei reiche umfaBt. Hierfur ist TOP der Kommuni-
das CSMA/CD-Verfahren nach ISO 8802/3 kationsstandard. Von der Fabrik bis zur Ferti-
zum Einsatz. Da man bei einem CSMA/CD- gungszelle und deren Informationen fmdet
16.5 Netze 603

Tabelle 16-4. MAP-Schichten im OSI-Modell.


Schicht Norm Beschreibung

7 Anwendungsschicht ISO DP 9506 Virtuelle Abbildungen der Maschinen und Teilnehmer


(Sprache: MMS: Manufactoring Message Specification)

ISO DIS 8571 Ubertragen und Bearbeiten von Dateien


(FTAM: File Transfer Access and Management)

ISO DIS 8650/2 Verbindungsaufbau zwischen Benutzern


(ACSE: Association Control Service Element)

6 Darstellungsschicht ISO DIS 8822 Schaffung einer einheitlichen Darstellung zwischen


den Teilnehmern

5 Sitzungsschicht ISO DIS 8326 Kommunikation im Vollduplexbetrieb,


Synchronisation von Programmen

4 Transportschicht ISO DIS 8073 Gesicherte virtuelle Verbindung zwischen Sender


und Empfanger

3 Vermittlungsschicht ISO 8348 Kopplung von Unternetzen, Wegefindung

2 Sicherungsschicht ISO 8802/4 Token-Passing-Zugriffsverfahren

1 Bitiibertragungsschicht ISO 8802/4 Koaxialkabel, Lichtwellenleiter mit 5 und 10 MBit/s

Firma 16.5.10 ISDN


n 16.5.10.1 ISDN-Konzept
Fabrik 1
Die herkommlichen Kommunikationssysteme
benutzen verschiedene Obertragungssysteme,
Bereich 1 beispielsweise Telex-, Teletex- und Fernsprech-
netz. Das ISDN (Integrated Services Digital
I Network) ist ein digitales Netzwerk, das diese
Fertigungs- unterschiedlichen Dienste der Bundespost (Te-
zelle 1 lekom) zusammenfaBt (integriert). Dann beno-
tigt jeder Teilnehmer nur eine AnschluBdose
Steuerung 1
und somit auch nur eine Rufnummer. Dazu
braucht man spezielle Datenendgerate, die di-
rekt an das ISDN-Netz oder Terminal Adapter
Sensor/ angeschlossen sind und sich mit einem speziel-
Aktuator len Protokoll verstandigen.
Bild 16-36. Einsatz von MAP, TOP und Feldbus im Die elektrischen Eigenschaften des ISDN-Basis-
Unternehmen. anschlusses sind in den CCITT-Empfehlungen
(Quelle: EUMUG) 1430 bzw. 1431 festgelegt. Der ISDN-Basis-
anschluB fur einen Benutzer sieht in beiden
MAP Verwendung, wobei Mini-MAP nur den Richtungen je zwei 64-kBit/s-Basiskanale (B-
Teilbereich der Maschinensteuerung abdeckt. Kanale), sowie einen 16 kBit/s-Hilfskanal (D-
Auf der untersten Ebene setzt man Sensoren ein Kanal) vor; dabei konnen die Verbindungen
und gibt deren Signale uber den Feldbus an die uber die beiden 64-kBit/s-Kanale zu verschiede-
Maschinensteuerungen weiter. nen Zielen fiihren (Bild 16-37b).
604 16 Schnittstellen, Bussysteme und Netze

a) ISDN und OSI-Schichtmodell BILDSCHIRM- bis 3 von den bereits vorhandenen Diensten wie
OSI-Schicht TELETEX TELEFAX MIXEDMODE TEXT
Datex-P, Datex-L oder dem Fernsprechnetz.
7 CCITT-T.60 CCITT-T.5 CCITT-T.72 CCITT-T.100
6 CCITT-T.61 CCITT-T.6 CCITT-T.6,T.61| CCITT-T.100 Die hierfur zustandigen Protokollnormen sind
in Bild 16-37 a zusammengestellt. Die Empfeh-
5
I i
CCITT-T.62, X.225
lung 1.430 legt die elektrischen Anforderungen
4 CCITT-T.70, X.224 an die tJbertragungsstrecke fest (z. B. eine erd-
1 I I I symmetrische Zweidrahtleitung) mit einer Uber-
3 CCITT-1.450 CCITT-T.70 11 CCITT-T.70 1CCITT-X.25 CCITT-X.25 tragungsrate von 192 kBit/s. Signalverlaufe so-
1.451 (B-Kanal) CCITT-X.21
(D-KANAL) wie Empfehlungen zur Erdsymmetrie und der
Leitungsabschliisse sind ebenfalls in 1.430 ange-
2 CCITT-1.440 HDLCLAPB CCITT-X.21 HDLCLAPB HDLC LAP B
1.441 (B-Kanal) X.25 X.75 geben. Die Norm ISO 8877 enthalt die Bele-
(D-KANAL) gung des AnschluBsteckers sowie dessen me-
1
CCITT-1.430
CCITT-L431
1
CCITT-X.21
CCITT
CCITT-X.25 1 V-Serie chanischen Aufbau.
ISDN
Datennetz
Die HDLC-Protokolle LAPB (Schicht 2; X.25)
leitungsvermitteltes paketvermitteltes Fern-
Datennetz sprechnetz
b) Kanale bei ISDN
und LAPD (Schicht 2; ISDN D-Kanal) nach
X.25 sind Sicherungsprotokolle, bei denen die
Teilnehmer-
ISDN- Nachrichten aus einem Vielfachen von 8 Bit be-
Vermittlungs-
anschluB stelle stehen. Diese Nachrichten werden als Block in
einen Rahmen (frame) von Steuer- und Fehler-
SIgnaldienstkanal erkennungsinformationen eingepackt, der von
bestimmten Bitfolgen (flags) begrenzt wird.
Bild 16-37. Dienste und OSI-Modell innerhalb von Diese Flags miissen von einer Station dauernd
ISDN. gesendet werden, auch wenn sie keine Rahmen
zu ubertragen haben, um den logischen Kanal
AuBerdem ist ein PrimarratenanschluB defi- nicht auszulosen und damit die Verbindung ab-
niert, der vor allem zum AnschluB von groBeren zubrechen. Durch die Struktur der Frames ist
ISDN-Nebenstellenanlagen gedacht ist und bis ein einheitliches Format zur Ubertragung von
zu dreiBig 64-kBit/s-Nutzkanale und einen Nachrichten und Steuerdaten vorhanden, so
16-kBit/s-Signalisierungskanal umfassen kann. daB Ubertragungsfehler zum groBten Teil
Bild 16-37 zeigt die unterschiedlichen Dienste schon in der Sicherungsschicht zu erkennen
und ihre Zusammenfassung in ISDN sowie die sind. Die ProtokoUe eignen sich zum Betrieb
Beziehungen zu den OSI-Schichten. von VoUduplex-Kanalen und erlauben den
Fur das ISDN-Konzept ist eine universelle Be- gleichzeitigen Betrieb von Stationen an einer
nutzerschnittstelle definiert, die den AnschluB Leitung; bei Mehrpunktverbindungen ermogh-
von unterschiedlichen Endeinrichtungen auch chen sie den Stationen, gleichzeitig zu senden
fiir verschiedene Kommunikationsarten an eine und zu empfangen. Dabei sind die Stationen
einheitliche Kommunikationssteckdose vor- vollig gleichberechtigt. Die ProtokoUe der
schreibt. Damit sind auch einheitliche Benut- CCITT-Empfehlungen 1.440 und 1.441 entspre-
zerprozeduren fur den Verbindungsauf- und - chen im wesentlichen den Protokollen nach
abbau festgelegt. X.25.
Dariiber hinaus sind bereits vom CCITT soge-
Beim ISDN-Konzept fmdet die Benutzer-Netz-,
nannte H-Kanale mit Bitraten von 384 kBit/s,
sowie die Benutzer-Benutzer-Signalisierung im
1536kBit/s und 1920 kBit/s definiert, die fiir D-Kanal statt, wahrend die Nutzinformationen
Ton- bzw. Bewegtbildiibertragung vorgesehen leitungs- bzw. paketvermittelt in einen der bei-
sind. den B-Kanale ubertragen werden. Im D-Kanal
kann wahlweise auch eine paketvermittelte
16.5.10.2 ISDN-Konzept im OSI-Modell Nutzinformationsiibertragung stattfinden. Die
Die Einghederung des ISDN-Konzeptes in das Kanale des ISDN-Konzeptes werden dabei im
OSI-7-Schichten-Modell geschieht voUstandig, Zeitmultiplex auf den zwei Drahten der An-
d. h. alle sieben Schichten sind durch ProtokoUe schluBleitungen reaUsiert. Insgesamt stehen so
verbunden (Bild 16-37 a). Dabei unterscheidet dem ISDN-Teilnehmer drei Duplexkanale mit
sich das ISDN-Konzept nur in den Schichten 1 in jeder Richtung 144 kBit/s zur Verfugung:
16.5 Netze 605

Bl (64 kBit/s), B2 (64 kBit/s) und D (16 kBit/s) Einbruch. Fernwirkdienste dienen zum Messen,
fur die Signalisierung. Da noch zusatzlich Uberwachen und Steuern betriebs- und haus-
16 kBit/s fur die Sychronisation und die Rah- technischer Anlagen.
menbildung sowie fiir die Schutzzeiten zur Ver-
Voice Mail, Text Mail, Fax Mail sind Speicher-
fugung zu stellen sind, ergibt sich eine Bitrate
dienste mit Briefkastenfunktionen fiir Sprache,
von 192 kBit/s. Fiir die Signalisierung im D-
Text, Faksimile oder Daten. Mit diesen Kom-
Kanal sind die Protokolle (Schicht 2: Q.921;
munikationsdiensten kann man dem Kommu-
Schicht 3: Q.931) nach der CCITT-Empfehlung
1.450 und 1.451 zustandig. nikationspartner auch iiber unbediente Endein-
richtungen in deren elektronische Briefkasten
Nachrichten iibermitteln. Der Eingang einer
16.5.10.3 ISDN-Dienste mit Bitraten solchen Nachricht laBt sich dem Benutzer auto-
bis zu 64 kBit/s matisch durch optische oder akustische Anzeige
am Endgerat mitteilen.
Die ISDN-Verbindungen mit 64 kBit/s-Kana-
len zwischen beliebigen Teilnehmern ermog- Die ISDN-Abrufdienste (z. B. ISE)N-Bild-
lichen eine Einfiihrung von ISDN-Diensten. In schirmtext) erlauben durch die hohere Ubertra-
Tabelle 16-5 sind mogliche Dienste im ISDN gungsrate einen schnelleren Bildaufbau sowie
nach Dienstegruppen geordnet zusammenge- eine hohere Bildauflosung beim Anwender als
faBt. das herkommliche Btx.
Die einheitliche Signalisierung (D-Kanal-Pro-
tokoll) und die einheitliche Ubertragungsbit-
rate des Nutzkanals (B-Kanal) werden neue, 16.5.10.4 Kommunikation mit privaten Netzen
schnelle Dienste und kostengiinstige Endgerate (LAN)
bereitstellen. ISDN-Fernsprechen bietet bei- Bedingt durch das Nebeneinander von offent-
spielsweise ein besseres Signal-Gerausch-Ver- lichen Kommunikationsmitteln (z.B. Telefon)
haltnis, entfernungsunabhangige Dampfung und betrieblichen bzw. privaten Rechnern wird
und hohere Sprachbandbreite (7 kHz). es immer dringender, diese Gerate an ein ge-
Der ISDN-Teletex-Dienst verkurzt die Uber- meinsames Vermittlungssystem (ISDN-Neben-
tragungsdauer einer DIN-A4-Seite auf weniger stellenanlage) anzuschlieBen. Die ISDN-Neben-
als eine Sekunde und die verwendeten Proto- stellenanlage kommuniziert mit LAN-Netzen
kolle (der OSI-Schichten 4 bis 7) erlauben wei- und hat pro Teilnehmer nur eine AnschluBlei-
terhin eine Zusammenarbeit mit dem Teletex- tung, die fiir alle Informationsarten gemeinsam
Dienst im DATEX-L. zur Verfiigung steht. Die Verbindung von LAN
und ISDN erfordert im LAN eine Netziiber-
ISDFN-Telefax dient der Ubermittlung und gangsstelle (Gateway), welche die notwendigen
Darstellung von Bildern, Zeichnungen und Anpassungen der Signalisierung und die Ge-
Handschriften mit hoher Quahtat (bis 48 Punk- schwindigkeitswandlung ausfiihrt. Fiir das
te/mm). Der kombinierte ISDN-Mixed Mode ISDN ist dann ein LAN wie eine Endeinrich-
ist besonders geeignet fiir die wirtschaftliche tung mit einer ISDN-Schnittstelle als Uber-
Ubermittlung von Dokumenten, die aus Texten gabepunkt.
und Grafiken bestehen.
Bei der ISDN-Festbildiibermittlung werden
Standbilder iibertragen, wobei je nach Bildin- 16.5.11 Kopplung von Netzen
halt alle 1 s bis 10 s ein neues Bild erscheinen
kann. Mit der ISDN-Bewegtbildiibermittlung Werden Netze zusammengeschaltet (gekoppelt),
kann man schwarz/weiB- sowie Farbbilder so sind - je nach Verschiedenheit der Netze -
iibertragen, wobei allerdings wegen der sehr ge- unterschiedliche MaBnahmen zu treffen, um
ringen Ubertragungsrate nur Bilder iibertragen eine sichere Kommunikation zu gewahrleisten.
werden, die im Vergleich zum Farbfernsehen Bild 16-38 zeigt die verschiedenen Kopplungs-
stark verminderte Bildquahtat haben. Zu den bauteile.
Sicherheitsdiensten zahlen Alarm- und Notruf- Gleiche Netze verbindet man mit Repeatern
dienste, beispielsweise fiir Feuer, Uberfall oder (z.B. Ethernet mit Ethernet), die auf der
606 16 Schnittstellen, Bussysteme und Netze

Tabelle 16-5. ISDN-Dienste mit Bitraten bis zu 64 kBit/s.

Dienste- ISDN-Dienste ISDN-Dienste Bestehende Dienste Dienste fiir Endgerate


klassen iiber B-Kanale iiber D-Kanal aus dem Fernsprech- des integrierten Text-
netz und Datennetzes (IDN)

Dialog- Ubermittlungsdienste ISDN-Daten- Fernsprechen Fiir Teletex-Endgerate


dienste ubermittlung (2400 bit/s)
(paketvermittelt)
ISDN-Daten- ISDN-Sicherheits- Telefax Fiir Telefax-Endgerate
iibermittlung dienste (Gruppe 2/3) Gruppe 4
(paket- bzw. (9600 bit/s)
leitungsvermittelt)
ISDN-Fernsprechen ISDN-Fernwirk- Dateniibertragung DEE mit Schnittstellen
dienste mit V-Schnittstellen X.21 und X.25
(parallel, seriell)
ISDN-Teletex Sicherheitsdienste
ISDN-Telefax Fernwirkdienste
(Gruppe 4)
ISDN-Mixed-Mode
(ISDN-Textfax)
ISDN-Fernzeichnen
ISDN-Festbild-
iibermittlung
ISDN-Bewegtbild-
ubermittlung
ISDN-Sicherheits-
dienste
ISDN-Fernwirk-
dienste

Speicher- Voice-Mail
dienste Text-Mail
Fax-Mail

Abruf- ISDN-Bildschirm- Bildschirmtext


dienste text (Btx)

Verteil- Datenverteilung
dienste Sprachverteilung
Festbildverteilung

Ebene 1 des OSI-Modells (physical layer) arbei- Schichten 1 und 2 des OSI-Modells konnen
ten. Sie dienen als Verstarker und werden des- unterschiedlich sein (z. B. Ethernet mit twisted
halb auch Regenerator en genannt. Bei einem pair, CSMA/CD mit Token-Ring). Dadurch
Repeater kann auch ein Wechsel des Mediums konnen die Netze physikaHsch getrennt sein. Es
(z.B. Koaxialkabel auf twisted pair) erfolgen. bleibt jedoch ein logisches Netz bestehen (d. h.
Netze mit kompatiblen Leitungsprotokollen wer- es existiert nur eine Netzadresse).
den mit Bridges verbunden (Bild 16-35 und Netze mit unterschiedlichen Leitungsprotokollen
16-38). Hierbei sind die Netze auf der Ebene 3 und Topologien verbindet man mit Routern, die
des OSI-Modells gleich (network layer). Die auf der Ebene 3 des OSI-Modells (network
16.5 Netze 607

layer) arbeiten (in Bild 16-35 geschieht die im allgemeinen nicht moglich, ein Netz mit
Kopplung eines WAN-Netzes an ein MAP- TCP/IP-Protokoll mit einem Netz mit XNS-
Breitbandnetz mit einem Router). Die zwei zu ProtokoU zu verbinden.
verbindenden Netze miissen dabei auf gleichen Im Gegensatz zu Bridges sind iiber Router zwei
Transportebenen basieren (z. B. TCP/IP, XNS, verschiedene Netzwerke mit unterschiedlichen
DECNet Oder ISO 8073). Mit Routern ist es Netzwerkadressen verbunden. Dadurch kann

a) Koppel-Bauteile

Bezeichnung Anwendung Prinzip Bemerkung

Abschnitt 1 Abschnitt 2
Repeater
0 gleiche Netze
500 m- 500 m — ^
Arbeitet in Schicht 1
des OSI-Modells

Abschnitt 1

s
-jl Adresse 129.0.0.1
Netze mit Arbeitet in Schicht 2
Bridge l<ompatiblen des OSI-Modells
Leitungsprotol<ollen (Schicht 1 und 2
Adresse 129.0.0.1 konnen verschieden sein)
Abschnitt 2
Netzwerk 1 Arbeitet in Schicht 3
• Adresse 129.0.0.1 des OSI-Modells
unterschiedliche (Schicht 1 und 2
Router Rt konnen unterschiedlich,
Netze
Schicht 4 muBgleich
Adressel 29.0.0.2 sein)
Netzwerk 1

z.B. DECnet Anwendungsumsetzung Arbeitet in Schicht 7


Transport- des OSI-Modells
Gateway
m heterogene
Netze
umsetzung
Netz-
umsetzung
(offene Kommunikation
fiJrdieSchichten)

z.B. X.25 Netz

b) Beispiel
offentliches Netz

Rechner Bild-
schirm
Feldbus

Sensor Sensor Sensor


1 2 3

Bild 16-38. Kopplungsbauteile fur Netze.


608 16 Schnittstellen, Bussysteme und Netze

man diese Netze unabhangig voneinander ent- Moglichkeit der Erweiterung wahrend des
wickeln und betreiben. Auch Fehler mit groBen Betriebs,
Auswirkungen (z.B. Broadcaststiirme durch raumhche Ausdehnung des gesamten Netzes,
fehlerhafte Netzwerkadapter) wirken sich nur Speicherbedarf der Netzsoftware (wichtig fiir
auf den jeweiligen Teil des Netzwerkes aus. die PC),
Die Verbindung unterschiedlicher, herstellerspe- Benutzerzahl,
zifischer Netze geschieht mit Gateways, die auf Selbstheilung bei Ausfallen und
der Ebene 7 des OSI-Modells arbeiten. Haupt- Echtzeitverhalten.
aufgabe der Gateways ist es, eine Protokollum-
wandlung vorzunehmen, wobei zusatzlich iiber- 16.5.12.2 Einfiihrung eines hierarchischen
wacht wird, ob das richtige Protokoll Verwen- Kommunikationskonzeptes
dung findet. Generelles Ziel von LAN-Gate-
ways ist es, offene Kommunikationsmoglichkei- Hierarchische Konzepte begegnen uns im tag-
ten zwischen Stationen auf den anwendungsab- Hchen Leben standig und in vielfaltiger Form.
hangigen Schichten 5-7 zu schaffen. Bin Gate- So ist beispielsweise unser Telefonnetz hierar-
way kann man dabei auf drei verschiedene chisch aufgebaut (Telefon, Nebenstellenanlage,
Arten verwirklichen: durch eine Datenendein- Vermittlung, ...) oder ein Industriebetrieb
richtung, als Voll-Gateway in beide Netze inte- (Chef, Abteilungsleiter, Gruppenleiter ...). Des-
griert oder als zwei Halb-Gateways, die je in ein halb ist es sinnvoll, auch die Informations-
Netz integriert sind. verarbeitung hierarchisch zu gliedern, da sie
Je nach Umfang der Umsetzung fur die unter- den InformationsfluB zwischen den verschiede-
schiedlichen Schichten lassen sich verschiedene nen Ebenen (z. B. eines Betriebes) widerspiegeln
Klassen von Gateways einteilen (Bild 16-38 a): soil.
Die Netzumsetzung (Schicht 1 bis 3 ist unter- Eine hierarchische Gliederung bei der Planung
schiedlich; Umsetzung von TCP/IP auf das eines lokalen Netzes ist auch deshalb sinnvoll,
Protokoll X.25 oder ISO 8473), die Transport- weil man dabei auf jeder Ebene eine informa-
umsetzung (Schicht 1 bis 4 ist unterschiedUch; tionsverarbeitende Komponente mit der jeweils
sie wiirde dann zusatzlich das Protokoll TCP der Ebene angepaBten Verarbeitungsleistung
(von TCP/IP) auf ein Protokoll ISO 8073 bzw. einsetzen kann. Die Konzeptionsansatze fiir
XNS umsetzen) und die Anwendungsumsetzung eine hierarchische Kommunikationsarchitektur
(Schicht 1 bis 7 ist unterschiedlich; sie wiirde konnen, ausgehend vom OSI-Referenzmodell,
zusatzlich z.B. das FTP/TELNET (das meist in verschiedene Schichten geghedert sein. In der
mit TCP/IP implementiert ist) auf FTAM um- Kegel wird sich jedoch die hierarchische GHede-
setzen). Bild 16-38 b zeigt, an welcher Stelle des rung auf die transport-orientierten Schichten 1
Netzes man die Koppelbauteile einsetzen kann. bis 4 des OSI-Modells beschranken.
Hierarchisch strukturierte lokale Kommunika-
tionsmogUchkeiten stellen fur die Schichten, die
nicht mehr in die Hierarchic einbezogen sind,
16.5.12 Planung von lokalen Netzen offene Systeme dar, die den hoheren Schichten
transparente Kommunikationsverbindungen
16.5.12.1 AUgemeine Anforderungen
bieten.
Bevor man ein lokales Netz auswahlt, sind zu- Die hierarchischen Konzepte haben allesamt
erst die Anforderungen zu ermitteln und dann ein einziges Ziel, namUch die optimale Anpas-
den Angaben der Hersteller gegeniiberzustel- sung der Einzellosungen an spezifische Anfor-
len. Subsysteme (autonome Informationsinseln) derungen der Informationsverarbeitung. Hier-
soUten fur verschiedene Anforderungen ausge- archische Systeme sind zukunftsweisend im
bildet und iiber spezielle Verkniipfungen zu ei- HinbUck auf Ausbaufahigkeit des Systems, An-
nem ganzen System verbunden werden. Fol- schluB von unterschiedHchen Endgerate-Typen,
gende Kriterien sind zu beachten, zu gewichten Aufteilung der Verkehrsstrome, Ausfallsicher-
und zu bewerten: heit, Migration von bestehenden Losungen auf
- Nutzdatenrate, neue Ansatze, Ubertragungskapazitat und In-
- Ubertragungsgeschwindigkeit, formationssicherheit.
16.5 Netze 609

In einer Hierarchie der Verkabelung sind drei tionsverarbeitung und die Kommunikation be-
Stufen zu unterscheiden (Bild 16- 39): die pri- schreiben lassen:
mdre Verkabelung als iibergeordnete Verkabe- - Betriebsstatte,
lung, die groBe Entfernungen uberbriickt; die - Produktionsbereich (area),
sekunddre Verkabelung, die einzelne Bereiche - Produktionszelle (cell),
innerhalb von Gebauden miteinander verbin- - korrespondierende Netze auf Betriebsstat-
det und die tertidre Verkabelung als arbeits-
tenebene,
platznahe Verkabelung, die die einzelnen End-
- korrespondierende Netze auf Zellenebene,
gerate verbindet.
- Workstation und Gerat (equipment).
Ausgehend von einer hierarchischen Verkabe-
lung kann auch eine Hierarchie der Ubertra- Besonders wichtig bei der Planung von Netzen
gungsraten aufgebaut werden, die es erlaubt, die ist, daB eine getrennte Planung des physikah-
Leistungen der Primarverkabelung sehr gut zu schen und des logischen Netzwerkes vorgenom-
nutzen. Die Ubergange zu hoheren Stufen der men werden kann.
Hierarchie und Konzentrationspunkte, die
nicht nur physikalisch, sondern auch logisch 16.5.12.3 Einsatz von Lichtwellenleitern
konzentrierend ausgefuhrt sein konnen (wie
z. B. Router oder Bridges). Dabei werden Filter- Lichtwellenleiter konnen bei lokalen Netzen
funktionen ausgefuhrt, welche die Sicherheit sowohl im Backbone-Bereich, als auch im An-
des Systems in bezug auf Ausfall und Zugangs- schluBbereich Verwendung finden. Fiir den An-
berechtigung bzw. Broadcast-Verhalten erho- schluBbereich sind inzwischen auch optische
hen. Transceiver mit einer ISO-8802/3-Schnittstelle
im Angebot, die fur eine Verbindung von End-
Das am weitesten verbreitete Modell einer geraten mit Glasfasern sorgen und so ein Netz-
Rechner-Hierarchie in der Produktion stammt werk nach ISO 8802/3 mit Lichtwellenleitern
vom National Bureau of Standards (NBS) und als Ubertragungsmedium bieten (optisches
kennt folgende sechs Funktionsgruppen, mit Ethernet). Durch das optische Ubertragungs-
denen sich die Anforderungen an die Informa- medium darf der maximale Abstand zwischen
zwei Endgeraten 4500 m betragen. Zentrale Ele-
mente dieser Systeme sind Sternkoppler, die
aktiv oder passiv ausgefuhrt sein konnen.
Optische LAN nach ISO 8802/3 sind, da LWL-
Verbindungen immer als Punkt-zu-Punkt- oder
Punkt-zu-Mehrpunktverbindungen betrieben
werden, keine Bus-, sondern Sternnetze, die
auch kaskadiert sein konnen.
Eine besondere Form des Token-Ring-Netzes
ist das FDDI-Netzwerk, das man sowohl als
eigenstandiges LAN im AnschluBbereich sehen
kann, als auch, aufgrund der hohen Ubertra-
gungsrate von 100 MBit/s, als Backbone-Netz
(Bild 16-31 b).
Der Backbone-Bereich ist zur Zeit das wich-
tigste Einsatzgebiet fur Lichtwellenleiter beim
LAN. Hier sind groBe Entfernungen mit meist
verschmutzter Umgebung zu uberbriicken und
aufgrund einer Hierarchie der Datenraten sind
hohe Ubertragungsraten erforderhch. Dabei
wird ein Einsatz von Hochgeschwindigkeitsnetz-
werken sich in naher Zukunft nur auf den pri-
maren und in wenigen Fallen auch auf den se-
Bild 16-39. Hierarchie der Verkabelung. kundaren Bereich beschranken.
610 16 Schnittstellen, Bussysteme und Netze

Ein Einsatz von Hochgeschwindigkeitsnetzen Tabelle 16-6. Systematik zur Planung von Net-
im tertiaren Bereich ist nur fur die Kopplung zen.
von Prozessen sinnvoll, die auch wirklich Hoch-
geschwindigkeitsubertragung verlangen (z. B. 1. Ist-Analyse
- Liste mit alien Geraten, die am Netz hangen
die Kopplung von Supercomputern). Im Be- - Liste aller Anwendungen, die iiber das Netz
reich der ixblichen Endgerate wird eine Uber- laufen
tragungsrate von 16 MBit/s auf lange Sicht aus- - Erfassen aller bestehenden Netzwerke
reichend sein. - Abschatzen des Lastaufkommens
2. Bilden von Unternetzen
16.5.12.4 Vorgehensweise bei der Planung - die Gerate werden in eine Hierarchie ein-
von Netzen geordnet
Um Netze zu planen, geht man in drei Phasen
3. Einzeichnen der Gerate und Unternetze in
vor: Zunachst erstellt man vorbereitende Ana- den GebaudegrundriB
lysen, legt anschlieBend die Verkabelungsstrate-
gie fest und wahlt schlieBlich das Netzwerk aus. 4. Planen der hierarchischen Verkabelungs-
Fiir die Verkabelung sind folgende Grundsatze struktur
zu beachten:
5. Verbinden der Unternetze iiber Bridges oder
- Eine spezielle Verkabelung von Einzelsyste- Router
men soUte nicht erfolgen, sondern statt des-
sen eine kabeltechnische Integration aller 6. Festlegen eines einheitlichen Transportproto-
moglichen Anwendungen auf einem Me- kolls (z. B. TCP/IP Oder ISO 8073)
dium.
- Das Kabelnetz soUte nicht nur den aktuellen, 7. Auswahl des Netzbetriebssystems fiir Be-
sondern auch den zukiinftigen Bedarf eines triebssysteme, die nicht netzorientiert auf-
Standorts abdecken. gebaut sind (z.B. MS-DOS)
- Backbone-Netze soUten speziaUsierte Teil-
netze verbinden.
Im allgemeinen sollte man einer hierarchischen
Oder strukturierten Verkabelung den Vorzug denen Anbietern nach Referenzkunden zu er-
gegeniiber einer Einzellosung fur das gesamte kundigen und sich deren Erfahrungen mit den
Netz geben. Dabei wird der gesamte Standort in in Frage kommenden Produkten zu Nutze zu
Verkabelungsbereiche eingeteilt, die unterein- machen. Seit 1988 gibt es auch nationale Koor-
ander durch Ubergabepunkte verbunden wer- dinierungsstellen, welche die Normenkonfor-
den. An diesen Ubergabepunkten kann auch mitat informationstechnischer Produkte priifen
ein Wechsel der Netztechnik (z. B. LAN-WAN und zertifizieren (in Deutschland die DEKITZ:
Oder Glasfaser auf Koaxialkabel) und des Me- Deutsche Koordinierungsstelle fur IT-Normen-
diums erfolgen. konformitatspriifung und -Zertifizierung). Ta-
Gerade bei der Auswahl des Netzbetriebssyste- belle 16-6 zeigt das prinzipielle Vorgehen bei der
mes ist es unverzichtbar, sich bei den verschie- Planung von Netzen.
611

17 Stromversorgung wandelt werden. Hat man sich fur einen Durch-


fluBwandler entschieden, dann besteht der
Hauptausgang (Pfad 1) aus dem Gleichrichter,
Stromversorgungen sind Gerate zur Umwand- einer Speicherdrossel und einer Siebung. Die
lung technischer Versorgungsspannungen in Nebenausgange konnen uber einen Transduk-
meistens geregelte Spannungen. In Bild 17-1 tor (Pfad 2 a) oder einen zweiten DurchfluB-
sind die gebrauchlichsten Moglichkeiten der wandler (Tiefsetzsteller; Pfad 2 b) nachgeregelt
Stromversorgung, auch mit Mehrfachausgang, sein; oder das Stabilisieren der mitlaufenden
wiedergegeben. Der Chopper ist nicht mehr, der Ausgangsspannung erfolgt durch einen Langs-
Resonanzwandler ist noch nicht sehr verbreitet. oder einen Shuntregler.
Zur Erlauterung von Bild 17-1 dienen die rot Stromversorgungen benotigt man beispiels-
gezeichneten Pfade. Zum Beispiel soil eine ein- weise zur Speisung elektronischer Einrichtun-
gangsseitige Gleichspannung in zwei, von der gen. Zu Stromversorgungs-Systemen gehoren
Primarseite isolierte, Sekundarspannungen ge- die ihnen zugeordneten Uberwachungs- und

E i ngang

Wechselspannung ( ^ Gleichspannung fc)

Funkentstorung
i I
Gleichrichter und Siebung

Netztransformatorj | Sperrwandler | | DurchfluRwandler Resonanzwandler


1 n—I 1— 1—

Potentialtrennung

Gleichrichter und Siebung


2a 2b

i t
Transduktor
i
Tiefsetzsteller
n Sperrwandler

^ Gleichrichter

I I t
Speicherdrossel + Freilaufdiode

I I I )
Siebung und Funkentstorung

Langsregler Shuntregler

A usgan (

Bild 17-1. Verschiedene Ausfuhrungen der Stromversorgung.


612 17 Stromversorgung

Tabelle 17-1. Gebrauchliche Versorgungsspannungen.

L//V //Hz Anwendungsbeispiele

230/400 50 Europaisches Verbundnetz


Toleranz nach lEC 38: +6%, —10%, „Technische Wechselspannung"

60 USA, Kanada
115/200
400 Flugzeug, Schiff

60 0 Amtsbatterie in den Fernmeldeamtern der Deutschen Bundespost

48 0 Fernmeldeverwaltungen, Fernsprech-Nebenstellenanlagen

28 0 Nickel-Cadmium-Batterien in Flugzeugen

24 0 Bleibatterien in Fahrzeugen (Starterbatterien)

12 0

Signalisierungs-Einrichtungen. Hat die Strom- Sekundarelemente, Grundbegriffe) enthalt fol-


versorgung einen Netz-Eingang, also einen An- gende Definition: „Ein galvanisches Sekundar-
schluB an das Netz der Energieversorgungs- element ist ein elektrochemischer Energiespei-
Unternehmen, so spricht man von einem cher, der zugefiihrte elektrische Energie spei-
Schaltnetzteil, wenn eine getaktete Technik ver- chern und nach Bedarf wieder abgeben kann."
wendet wird. Bei einem Gleichspannungsein- Ist der AnschluB an ein offenthches Netz nicht
gang sind Spannungswandler und Konverter die moglich, dienen mit Dieselmotor getriebene
am haufigsten verwendeten Begriffe. Generatoren oder auch Solarzellen als Energie-
quelle zum Laden der Akkumulatoren (DIN
41772 und DIN VDE 0510).
17-1 Arten der Spannungsquellen Auch ein gemischter Betrieb mit einem Akku
und einem vom 230-V-Netz betriebenen
(Energiequellen) Gleichrichtergerat ist ubUch. Hierbei ist zwi-
Als Energiequelle dient vorzugsweise die aus schen dem Parallelbetrieb und dem Umschalte-
dem offentlichen Netz bereitgestellte Wechsel- betrieb zu unterscheiden. Im Umschaltebetrieb
spannung, die technische Wechselspannung wird der Verbraucher vom Netzgerat oder von
(230/400 V, 50 Hz entsprechend lEC 38) oder der Batterie gespeist. Im Parallelbetrieb sind
eine daraus abgeleitete lokale Gleichspan- der Ausgang des Netzgerates und die Batterie
nungsversorgung mit einer Spannung bis zu parallel geschaltet. Beim Parallelbetrieb setzt
120 V. Eine Ubersicht zeigt die Tabelle 17-1. man die Batterie entweder im Pufferbetrieb
Fur tragbare Gerate verwendet man, vor allem oder im Bereitschaftsparallelbetrieb (engl.: float-
bei kleiner Stromaufnahme, chemische Primdr- ing mode) ein. Im Pufferbetrieb ladt man die
zellen (Trockenzellen) nach DIN lEC 86. Bild Batterie wahrend der Zeiten, in denen ein Uber-
17-2 zeigt eine Zusammenstellung gebrauch- schuB an Energie vorhanden ist. Im Bereit-
Hcher Arten. schaftsparallelbetrieb steht die Batterie im gela-
denen Zustand nur bereit, um im Fall einer
Storung Energie abzugeben. Beispielsweise be-
Akkumulatoren notigt ein Solargenerator einen Puffer, aber die
Bei vorhandenen Lademoglichkeiten werden Ortsbatterie im Fernmeldeamt muB nur im
sogenannte Sekunddrelemente meist in Form Storfall Energie bereitstellen, da im Normalfall
von Blei- oder Nickel-Cadmium-Akkumulato- ein Gleichrichtergerat den gesamten Strombe-
ren (Akkus) gewahlt. DIN 40 729 (Galvanische darf deckt.
17.2 Verschiedene Ausfuhrungen der Stromversorgung 613

17.2 Verschiedene Ausfiihrungen Elektromagnetische Vertraglichkeit (EMV) iib-


lich (Abschn. 17.6.3). Funkstorungen konnen
der Stromversorgung sowohl leitungsgebunden als auch gestrahlt
Bild 17-1 zeigt, aus welchen Funktionsblocken sein.
eine Stromversorgung bestehen kann. Mechanische Belastungen treten vor allem bei
Die Verbindungen einer Stromversorgung mit Beschleunigungen auf, die sehr unterschiedhche
der Umgebung sind in Bild 17-3 wiedergegeben. Ursachen haben konnen. Die Beschleunigung
Neben Strom und Spannung an den Eingangs- kann linear, impuls- oder sinusformig sein, aber
und den Ausgangsklemmen einer Stromversor- auch die Form eines Rauschsignals aufweisen.
gung miissen auch die auf die Stromversorgung Zum Eingang einer Stromversorgung gehort
einwirkenden und die von ihr gegebenenfalls die Versorgungsspannung. Wird eine Stromver-
ausgehenden physikalischen Einfliisse beachtet sorgung am Netz betrieben, dann darf der
werden. Die auBeren Bedingungen sind ent- Ableitstrom (Fehlerstrom gegen Erde) nur sehr
scheidend fur die Wahl einer jeweils optimalen klein sein (Abschn. 17.6.2), um eine Personenge-
Technik und damit auch fiir deren Preis. fahrdung auszuschheBen.
Eine Fernbedienung (Ein- und Ausschalten)
Temperatur Feuchte
, 1
I und Status-Ausgange (z. B. ein logisches Signal,
das abgegeben wird, wenn alle Ausgangsspan-
nungen innerhalb eines vorgegebenen Toleranz-
Eingangs- Spannungs-
spannung
= Ausgange bandes liegen) konnen Bestandteil einer Strom-
versorgung sein. Beispielsweise benotigt man
F e r n b e d i e n u n] g —
— \*A
( — — Status- fiir den Betrieb von Mikrocontrollern oft zwei
Ausgange
Steuersignale von der Stromversorgung. Ein
Reset-Signal wird von der Stromversorgung er-
elektro- '' \ mechanische zeugt und ermoghcht einen definierten Start
magnetische
Belastungen
Vertraglichkeit Ableit stro m eines Mikroprozessors. Beim Ausfall der Ein-
r7
gangsspannung ist ein zweites Signal erforder-
Bild 17-3. Schnittstellen einer Stromversorgung mit
lich, um die Ausgange von batteriegepufferten
der Umgebung.
Halbleiterspeichern hochohmig zu schalten.
Ein elektronisches Gerat tauscht mit der umge-
benden Luft Wdrme aus und kann Feuchtigkeit 17.2.1 Potentialtrennung
aufnehmen. Im Zusammenwirken mit der Tem-
peratur ist die Luftfeuchtigkeit wichtig. Beim Eine Potentialtrennung zwischen dem Eingang
Unterschreiten des Taupunktes fiihrt die hohe und dem Ausgang einer Stromversorgung kann
Luftfeuclitigkeit zur {Condensation des aus aus Sicherheitsgriinden unumganglich sein (Ab-
der Luft aufgenommenen Wassers und damit schn. 17.6.2). Bei kleinen Eingangsspannungen
zu einer meist unerwiinschten Betauung der ist eine Potentialtrennng sinnvoll, um Strom-
elektronischen Gerateteile. Standig betriebene schleifen zu vermeiden (Erhohung der Stor-
Stromversorgungsgerate sind warmer als ihre festigkeit) und um eine Fehlerfortpflanzung (der
Umgebung, so daB an diesen kein Wasserdampf erste Fehler ist die Ursache fiir Folgefehler) zu
kondensieren kann. Probleme konnen auftre- verhindern. Ohne eine Potentialtrennung wiirde
ten, wenn ein kaltes Gerat in eine feuchte und beispielsweise ein Durchlegieren (KurzschluB)
warme Umgebung gelangt. (Siehe hierzu lEC- eines zwischen dem Eingang und dem Ausgang
PubHkation 68-1, Appendix A [lEC-Klimaka- liegenden Transistors (Abschn. 17.3.2.1 und Bild
tegorie] und DIN 40 040 [Anwendungsklassen] 17-12) dazu fuhren, daB die Ausgangsspannung
und VDI-RichtUnie 2067, Blatt 3: /z, x-Dia- gleich der Eingangsspannung wird. Strom-
gramm nach MoUier fiir feuchte Luft beim schleifen entstehen durch Induktionsspannung
Druck von p = 1,013 bar.) an einer von zwei Leitern gebildeten Flache, auf
die ein magnetisches Wechselfeld einwirkt.
Funkstorungen konnen eine Stromversorgung
sowohl beeinflussen als auch von ihr selbst aus-
gehen. Fiir die Storfestigkeit (passiv) und fiir die
17.2.2 Transformator
Storaussendung (aktiv) ist der Sammelbegriff Mit einem Transformator werden mindestens
614 17 Stromversorgung

Bezeichnung Zink/ Zink/ Zink/ Zink/


Braunstein Braunstein Luft Luft
(Leclanche) (alkalisch) (alkalisch) (sauer)
positive Mn02 + e- + NH4 0-, + e- + N H ;
Elektrode
MnOOH+NH, MnOOH+OH" 40H- 20H-+NH,

negative Zn Zn+20H- Zn+20H- Zn


Elektrode
Zn2^+2e- Zn2^+2e-
Zellen-
reaktion

2MnOOH+ 2MnOOH + ZnO 2Zn{0H), 2H^0 +

Energie-
dichte in Wh/I 120 bis 190 200 bis 300 650 bis 800 200 bis 300
Energie-
dichte in Wh/kg 25 bis 70 80 bis 120 300 bis 380 130 bis 170
Nennspannung
in V 1,5 1,5 1,4 1,45
Strombelastung
in mA/cm^
Einsatz- Konsumtechnik: Horgerate, Langzeitan- Langzeitan-
gebiete Taschenlampen, Nachrichten- wendungen, wendungen.
MeBgerate, gerate (Sender), Horgerate Fernmeldegerate,
Spielzeug, Rechner, Baustellenbeleuch-
Radio, Tonband, GrolSuhren, tung,
Haushalt MeBgerate Weidezaun

24

5H-

1 Pluskontaktkappe,
Stahl verzinnt
2 BitumenverguB ®®@
3 positive Ableitung (Kohlestift)
4 Abdeckscheibe,
0 #%®«
polyethylenbeschichtet 1 positive AbschluB-
kappe
5 mehrlagiger Scheldercup mit
Kaschierung auf Basis ver- 2 Metal I mantel
netzter Starke, Ammonium- 3 IsolierhiJIse
und Zinkchlorid 4 Stahlbecher,
6 negative Losungselektrode vernickelt 1 Kontaktschraube
(Zinkbecher) (positiver Zellenpol) 2 Abdeckscheibe
7 positive Elektrodenmasse, 5 positive Elektrode 3 Kohlestift
Manganoxid, RuB, Grafit, Ringelektrode 4 LuftzufiJhrung
Elektrolyt 6 negative Losungs- 5 positive Elektrode
8 Isolierhiille, Kraftpapier mit elektrode (Zink mit Gaze um-
Polyethylen laminlert Zinkpulver)
wickelt
9 Stahlmantel 7 Separator 1 Zinkpulveranode 6 Elektrolytpaste
10 Minuskontaktscheibe, 8 negative Elektroden- 2 Kathode
ableitung 7 Zinkbecher
Stahl verzinnt "Luftelektrode"
9 Kunststoffdichtung 8 Drahtableitung
10 negativer Zellenpol 9 Isolierbecher
Bodenkontaktscheibe
17.2 Verschiedene Ausfiihrungen der Stromversorgung 615

Zink/ Cadmium/ Zink/ 1 Lithium/ Lithium/


Silberoxid Quecksilber Quecksilber Braunstein Thionylchiorid

Ag20 + 2e-+ HJD HgO+2e-+ H^O HgO + 2e-+H20 Mn02 + e-+ Li"" 2SOCl2 + 4e-

Ag+20H- Hg+20H- Hg+20H- IVIn02{Li^) SO2 + S +4C|-

Zn + 2 0 H - Cd + 2 0 H - Zn+20H- Li L

ZnO+H20 + 2e- CdO + H20 + 2e- ZnO + H20 + 2e- Li'^+e" L " + e-

Zn + Agfi Cd + HgO Zn + HgO Li + Mn02 4Li + 2SOCl2

ZnO + 2Ag Hg + CdO Hg + ZnO Mn02(LiM 4LiCI + S02 + S

350 bis 650 250 bis 350 400 bis 520 500 bis 800 700 bis 900

70 bis 100 50 bis 70 90 bis 120 300 bis 500 500 bis 700 |

1,55 1,03 1,35 1,5 bis 3,8 3,7

2 2 2 0,5 0,5 1
Armbanduhren, militarische Photos, Konsumtechnik: Speichersicherung
Horgerate Anwendungen Blitzgerate, Photos, fur CMOS-RAMs,
Horgerate, Blitzgerate, Echtzeituhren,
Belichtungsmesser, Computer, Heizkostenverteiler,
Uhren Notstrom, Bojenbeleuchtung
Medizintechnik

P
/ 1

^ ^ ^ . 1-4
^^ ^ ^ ^ ^ ^
^ ^ ^ 4
\
6
«J '"o^ 1 1-5
1-6
1-7
1 Deckel, Trimetall
1-8
Kupfer,Stahl, Nickel
J-10
2 Zinkpulveranode
1-11
3 Elektrolyt-Vlies '^'•™- iB^Mir™"^' 1 iL

13
5 Kathod e 1 Pluspol, 2 Kunststoffdeckung,
6 Zellenb echer, 3 hermetische VerschweiBung,
Stahl, 4 Deckel aus Stahl, 5 Glas-
M etall-DurchfiJhrung, 6 Isolator,
nickelp attiert 7 Stromkollektor, 8 Lithium-
Arlode, 9 Kathode, 10 Separator,
11 Schrumpfschlauch, 12 Stahl-
hause, 13 Minuspol

Bild 17-2. Primdrelemente (Werkfotos: Sonnenschein und VARTA).


616 17 Stromversorgung

b) Wickelschema
folgt das Verhaltnis von Effektivwert zu Spit-
1/20-*>^J/20|
zenwert der Spannung fiir sinusformige
GroBen:
N
JRL U 1
la
1 7^'
c) Ersatzschaltbild Mit 0 = BA ergibt sich die Transformatorfor-
mel fur sinusformige Spannungen:
/-.9u2/?,u2 u = Ni/N2
/?i / - .

ih^
Netzwerk zur Darstellung des Unterschieds Idealer Transformator
wobei / die Frequenz, N die Windungszahl, A
die magnetisch wirksame Flache und B der
zwischen idealem und realem Transformator
Scheitelwert der Induktion ist. Fiir rechteckfor-
Bild 17-4. Transformator. mige Spannungen und symmetrische Ansteue-
rung ist U = 2NAB/t. Der Faktor 2 ergibt sich
zwei Spulen durch einen gemeinsamen Eisen- dadurch, daB der Induktionshub doppelt so
kern induktiv miteinander gekoppelt. Die Be- groB ist wie der Wert der Induktion B, da die
zeichnung Transformator beschreibt seine Hystereseschleife von — B nach + B durchlau-
Funktion; denn er transformiert beispielsweise fen wird. Wenn — B wieder erreicht wird, ist die
eine 230-V-Wechselspannung in eine Funk- Periode T=2t abgelaufen, und mit T = l / /
tionskleinspannung und trennt diese sicher vom lautet die Transformatorformel
Netz. In Bild 17-4 sind die Schaltung, das Wick-
lungsschema und das Ersatzschaltbild darge-
stellt. U = 4NABf. (17-3)
Im Ersatzschaltbild besteht der ideale und
verlustfreie Transformator nur aus den bei- B und A sind Konstanten, die vom Material
den Wicklungen N^ und N2. Die an der Pri- (z.B.: geschichtetes Dynamoblech oder Ferrit)
marwicklung Uegende Spannung u^ bewirkt und seiner Geometric bestimmt sind. Fiir B
eine magnetische FluBanderung d<PJdt {u^ = wird der fiir das Kernmaterial und die Kern-
Nid^Jdt), mit der in der Sekundarwicklung form optimale Wert gewahlt. (Beispiel: fiir EI 42
die Spannung U2 induziert wird; dabei gilt: bis EI 120, Dynamoblech IV, einer Umgebungs-
U2 = N2 d<p2/dt. Bei einem idealen Transforma- temperatur von ^u = 50°^ ^^^ einer Wick-
tor ist 0^ = 02^ u^d somit ergibt sich das Uber- lungstemperatur von ^w = 115°C liegen B^p^
setzungsverhdltnis u zu zwischen 1,38 T und 1,47 T). Als die magnetisch
wirksame Flache A wahlt man den Wert fur den
u = uju2 = ^1/^2- ejfektiven magnetischen Querschnitt A^. Wenn
Die Spannungen werden im Verhaltnis der die Verluste und Streuungen des Transforma-
Windungszahlen, d.h. mit dem Obersetzungs- tors vernachlassigbar sind, d. h. die Kupferver-
verhaltnis t/, transformiert. luste (1^1,^2) u^d der Eisenverlust (R^) sehr
Hat der FluB <P den zeithchen Verlauf 0 = klein sind, dann ist U^=U^, P^= P2 und
$ cos (D t, dann wird u = N co$sm{(D t), wobei 1/1/1 = (72/2, so daB gilt
$ der induzierte FluB im Scheitelpunkt ist.
Durch den zeitlichen Verlauf der Spannung u = (17-4)
UJU2 = NJN2 = l2/Ii = u-
14 sin {(JO t) ist die induzierte Scheitelspannung
u = N (0$. Aus der Definition fiir den quadrati-
schen Mittelwert (Effektivwert) Das bedeutet: die Spannungen U sind propor-
tional und die Strome / sind umgekehrt propor-
tional zu den Windungszahlen N. Dies nutzt
(17-1) man beispielsweise bei Stromwandlern zum
J 0
potentialfreien Messen von Stromen aus. Eine
17.2 Verschiedene Ausfuhrungen der Stromversorgung 617

streu- und verlustarme Bauweise vorausgesetzt, eine stabile Spannung mit niedrigem Innen-
kann man reelle Widerstande, aber auch kom- widerstand und kleiner WelUgkeit. Die an dem
plexe Widerstande transformieren. Die iiber- Siebkondensator C^ anliegende, in ihrer AmpH-
setzten GroBen R', L, und C fur den Wider- tude schwankende Spannung wird dazu durch
stand R, die Induktivitat L und die Kapazitat C einen Ldngsregler (Verlustregler) nachgeregelt.
verhalten sich wie folgt: Als Langsregler verwendet man meistens einen
integrierten Spannungregler (z. B. vom Typ |iA
R' = u^R 7805 C fur eine Ausgangsspannung von 5 V) zu-
L =u^L sammen mit einem ausgangsseitigen Elektro-
lytkondensator C2 (Abschn. 17.2.5).
1 Fiir ein einfaches Ladegerat (z. B. fiir Bleiakku-
C'=—,C. (17-5)
u mulatoren) reicht ein Gleichrichter an der Se-
kundarseite des Transformators aus; ein Lade-
gerat fur Nickel-Cadmium-Akkumulatoren be-
17.2.3 Transformator-Netzteil notigt nur noch einen zusatzhchen Widerstand.
Wird anstelle eines Verlustreglers ein pulsbrei-
Insbesondere fiir kleinere Leistungen ( P < tengeregelter Spannungswandler verwendet,
20 W) hat das Transformator-Netzteil auch so spricht man von einer sekunddr getakteten
heute noch folgende Vorteile gegeniiber einem Stromversorgung.
Schalt-Netzteil:
- geringe Kosten,
17.2.4 Gleichrichter-Schaltungen
- hohe Zuverlassigkeit,
- geringe Funkstorungen. Die nachfolgenden Beschreibungen beziehen
Bei technischen Wechselspannungen verwendet sich auf den Betrieb von Gleichrichter-Schal-
man zur sicheren elektrischen Trennung und tungen an einem 50-Hz-Netztransformator.
zur Spannungsuntersetzung Transformatoren Bild 17-6 zeigt die wichtigsten Schaltungen.
mit Kernen aus Dynamoblech (DIN 41 302 Tl).
Sogenannte EI-Blechschnitte, die zu Blech- Einweg-Gleichrichter (Bild 17-6 a)
paketen zusammengeschweiBt werden, ermog-
lichen eine automatische und damit eine ko- Wahrend jeder positiven Halbwelle wird der
stengiinstige Fertigung. Ein einfaches Transfor- Kondensator C fast bis auf den Spitzenwert der
mator-Netzteil, wie es Bild 17-5 wiedergibt, be- Transformator-Wechselspannung Uj aufgela-
steht im wesentHchen aus den folgenden Bau- den. Sobald die positive Amphtude der Wech-
elementen: einem Transformator Tr mit einer selspannung unter die am Kondensator C anlie-
eingebauten Temperatursicherung F2, einer Ge- gende Spannung absinkt, sperrt die Gleich-
rateschutz-Sicherung (Schmelzsicherung) F^, richterdiode D, und es fliefit nur noch Strom
einem Vollbrucken-Gleichrichter (D^, D2, D3 aus dem Kondensator in den Lastwiderstand
und D4) und einem Elektrolytkondensator C^
zur Siebung der gleichgerichteten Wechselspan- Bei reiner Widerstandslast und mit einer idea-
nung. len Diode wiirde fur die Dauer einer halben
Fiir die meisten Anwendungen benotigt man Periode ein rein sinusformiger Strom flieBen.
Durch die Kapazitat des Siebkondensators und
den Innenwiderstand des Transformators flieBt
}iA78xx innerhalb jeder Halbperiode nur kurzzeitig
Strom. Hierdurch kann der Diodenspitzen-
Masse
strom und sein Effektivwert wesentlich groBer
IF. werden als der Mittelwert des Diodenstroms.
H^
c, Da nur eine Diode an dem StromfluB beteihgt
I ill
typ = 230V
-^h
DRL ist, entspricht der mittlere Diodenstrom auch
dem Ausgangsgleichstrom 7^. Die Einweg-
N i^-
-tl J* Id ^-r schaltung sollte fiir Transformator-Netzteile
nicht angewendet werden, da der speisende
Bild 17-5. Einfaches Transformator-Netzteil. Transformator mit einem Gleichanteil belastet
618 17 Stromversorgung

a) Einweg-Gleichrichter b) Halbbrijcke (Mittelpunkt-Schaltung)

-^ T—-?•

« I GRL UK

lU, lu, lU, 1U,

c) Vollbriicke (Graetz-Schaltung) d) Spannungs-Verdoppler (Delon-Schaltung)

Tr
t—^1 1 ?

Ill MRL

lU, lu,
-^ f o-
D4 ±_
e) Spannungs-Verdoppler (Villard-Schaltung) f) Spannungs-Vervielfacher

Tr ^c\. Tr

i D i $D, iDg ^04

=> I
T i f i 1
1U,
4——o 1 •o—•—I

g) Ladungspumpe
D, D^
-^h ^1—T 0^

D Ci
(ku C,=h DRL

iu, ts t^.

Bild 17-6. Gleichrichter-Schaltungen.

wird. Dieser Nachteil ist mit einer Vollweg- gen ist durch einen Punkt gekennzeichnet. Bei
Gleichrichterschaltung zu vermeiden (Bild 17- einer positiven Halbwelle auf der Primarseite
6 b und c). liegt an alien gekennzeichneten Teilwicklungen
des Transformators Tr ebenfalls eine positive
Halbbrucke (Mittelpunkt-Schaltung; Bild 17-6b) Spannung. Solange die Spannung an der oberen
Mit VoUweg-Gleichrichtern werden die positive Sekundarwicklung groBer als die Spannung am
und die negative Spannungshalbwelle gleich- Kondensator C ist, leitet die Diode D^.
gerichtet. Der Ladekondensator C wird mit der Die Spannungen an den beiden Wicklungen
doppelten Netzfrequenz geladen, so daB im Ver- sind entgegengesetzt gleich groB. Deshalb ist
gleich zum Einweg-Gleichrichter eine kleinere die Dioden-Sperrspannung UR der Gleichrich-
Brummspannung erreicht wird. Bei der Mittel- ter doppelt so hoch wie die Spitzenspannung
punkt-Schaltung flieBt der Strom in einer Peri- des Transformators (C/R = 2 U).
ode T durch jede der beiden Dioden und jede Da der Transformator, im Gegensatz zu den
Teilwicklung fiir die Zeitdauer von t<T/2. iibrigen Schaltungen, zwei Sekundarwicklun-
Der Wickelsinn der Transformator-Wicklun- gen haben muB, wird der Transformator-Wir-
17.2 Verschiedene Ausfiihrungen der Stromversorgung 619

kungsgrad kleiner als der eines Transformators sator C2 iiber die Diode D2 geladen. Von dem
mit Vollbriicken-Gleichrichter (Bild 17-6c). mit einem dreieckigen Potentialsymbol gekenn-
zeichneten FuBpunkt des Transformators aus
VoUbrucke (Graetz-Schaltung; Bild 17-6c) betrachtet sind beide Kondensatorspannungen
entgegengesetzt gleich groB (symmetrisch). Die
Da bei dem Vollbrucken-Gleichrichter immer Ausgangsspannung setzt sich aus der Summe
zwei Dioden gleichzeitig leitend sind (Serien- beider Kondensatorspannungen zusammen.
schaltung), ist der Gleichrichter-Wirkungsgrad Eine Kombination von Graetz-Gleichrichtung
schlechter als derjenige einer Halbbriicken- und Delon-Spannungsverdoppler verwendet
Schaltung. Weil nur eine Sekunddrwicklung be- man haufig, wenn beispielsweise ein Schaltnetz-
notigt wird, kann man mit dickerem Kupfer- teil fur das amerikanische Netz (115 Volt) und
Querschnitt wickeln, als dies mit zwei Wick- das europaische Netz (230 Volt) geeignet sein
lungen bei gleichem Wickelraum moglich ist, soil. Im 230-V-Betrieb werden die in Serie ge-
und der verringerte Kupferwiderstand ergibt ei- schalteten Kondensatoren C^ und C2 von dem
nen verbesserten Wirkungsgrad des Transfor- Graetz-Gleichrichter geladen.
mators.
Bei einer Netzspannung von 115V stellt man
Wahrend der positiven Halbwelle werden die
zwischen dem FuBpunkt des Transformators Tr
beiden Dioden D^ und D4 leitend. Die negative
und dem Mittelpunkt der beiden Ladekonden-
Halbwelle wird von den Dioden D2 und D3
satoren eine Verbindung (z.B. Drahtbriicke)
gleichgerichtet. Da die Zeitdauer, wahrend der
her. Die Schaltung arbeitet dann als Verdopp-
ein Diodenstrom flieBt, wesentlich kleiner sein
ler, an deren Funktion die Dioden D3 und D4
kann als eine Periode T, sind die Diodenstrome
nicht beteiligt sind.
nicht sinusformig, und ihre Spitzenwerte sind
wesentlich groBer als der Laststrom 7^. AuBer- Spannungs-Verdoppler
dem ist zu beachten, daB der Effektivwert des (Villard-Schaltung; Bild 17-6e)
Diodenstroms (quadratischer Mittelwert) und
damit auch der Transformatorstrom hoher sind Eine unsymmetrische Spannungs- Verdoppler-
als der Ausgangsstrom /^ (arithmetischer Mit- Schaltung ist in Bild 17-6 e dargestellt. Wahrend
telwert). einer negativen Halbwelle ladt sich der Kon-
Der quadratische Mittelwert des Transforma- densator C^ iiber die Diode D^ auf den Schei-
torstroms bestimmt seine ubertragbare Lei- telwert der Transformator-Wechselspannung
auf. In der positiven Halbwelle ladt sich der
stung. Diese ist geringer als die Nennleistung
Kondensator C2 iiber die Diode D2 auf. Auf die
des Transformators, da diese sich auf reine Wi-
Transformatorspannung U^^ stockt sich die
derstands-Last bezieht.
Kondensatorspannung L/^i ^^f? ^o daB die
Spannung an C2 nahezu der doppelten Trans-
Spannungsverdoppler formator-Scheitelspannung entspricht. Ein we-
(Delon-Schaltung; Bild 17-6 d) senthcher Vorteil der Villard-Schaltung besteht
Oft werden Gleichspannungen benotigt, die we- darin, daB die Spannungen leicht aufzustocken
sentlich hoher sind als die zur Verfugung ste- (d.h. zu vervielfachen) sind.
hende Transformatorwechselspannung. In sol-
chen Fallen kommen Spannungsverdoppler Spannungs-Vervielfacher (Bild 17-6f)
Oder Spannungsvervielfacher in Betracht. In Ein auf der Villard-Schaltung basierender
Bild 17-6d ist ein Spannungsverdoppler als Spannungs-Vervielfacher ist in Bild 17-6f wie-
Delon-Schaltung dargestellt. Diese Schaltung dergegeben. Diese Schaltung benennt das anglo-
ist auch als symmetrischer Spannungsverdoppler amerikanische Schrifttum nach Cocker oft- Wal-
bekannt. Vom Transformator aus gesehen ent- ton. Der Kondensator C2 ist bereits auf die
spricht die Wirkungsweise derjenigen von zwei doppelte Transformator-Scheitelspannung auf-
antiparallel geschalteten Einweg-Gleichrich- geladen, wenn sich wahrend der negativen
tern. Halbwelle der Kondensator C3 iiber die Diode
In der positiven Halbwelle wird der Konden- D3 auf die zweifache Transformator-Scheitel-
sator Ci iiber den Gleichrichter D^ geladen und spannung aufladt. In der darauffolgenden posi-
in der negativen Halbwelle wird der Konden- tiven Halbwelle liegt die Transformatorspan-
620 17 Stromversorgung

nung mit den Spannungen von C^ und C2 in Villard ansteuern, mit denen sich hohere Span-
Reihe. Da die Spannung Uj^. gleich der Span- nungen beider Polaritaten ohne Transformator
nung Uci ist und 11^2 gleich 2 • Ujj. ist, wird nun verwirkhchen lassen.
die Ausgangsspannung U^ auf den vierfachen
Wert der Transformator-Scheitelspannung an- 17.2.5 Lineare Regler
gehoben. Die Spannung am Kondensator C4 ist
gleich groB wie die Spannung am Kondensator Lineare Regler (engl.: Hnear regulators), auch
C2.
Verlustregler genannt, sind als Langsregler oder
Shuntregler ausgefiihrt. Ist der als Stellglied
Um noch hohere Spannungen zu erzeugen,
wirkende Transistor zwischen dem Eingang
konnen weitere Stufen angefiigt werden {Kaska-
und dem Ausgang, also im Langszweig ange-
dierung). Eine von mehreren Varianten besteht
ordnet, so spricht man vom einem Langsregler.
in der Kombination von der Villard- mit der
Bei einem Shunt- oder Parallelregler dagegen ist
Delon-Schaltung.
das Stellglied parallel zur Last angeordnet. Das
Verhalten eines Shuntreglers ist mit dem einer
Ladungspumpe (Bild 17-6g) Zenerdiode vergleichbar.
Bild 17-6g zeigt eine Schaltung zur Erhohung Langsregler
von Spannungen mit einem kleinen Leistungs-
bedarf ohne Transformator. Mit dieser Schal- Langsregler haben wegen ihrer einfachen
tung wird die Eingangsspannung nahezu ver- Handhabung, insbesondere in integrierter
doppelt. Dieses Prinzip verwendet man nicht Form, eine groBe Verbreitung. Nachteihg ist
nur innerhalb von integrierten Schaltungen, nur ihr schlechter Wirkungsgrad. Integrierte
sondern es eignet sich beispielsweise auch zur Regler benotigen zwischen Eingang und Aus-
Erzeugung von Gate-Spannungen fixr MOSFET- gang, abgesehen von einigen Spezialtypen, we-
Transistoren. nigstens 3 V Differenzspannung. Bei konstanter
Last ist der Eingangsstrom eines Langsreglers
Durch das Steuersignal U^^ werden die beiden
konstant und bildet, von der Quelle aus gese-
Transistoren wechselweise ein- und ausgeschal-
hen, eine Stromsenke. Deshalb hat die Ein-
tet. Nachdem der n-Kanal-Transistor T2 einge-
gangskennlinie im Idealfall eine unendhche
schaltet worden ist, ladt sich der Kondensator
Steigung. Bild 17-7 zeigt das Funktionsprinzip
Ci iiber die Diode D^ auf. Daran anschUefiend
eines Langsreglers mit verschiedenen Schalt-
sperrt der Transistor T2 und der p-Kanal-Tran-
moglichkeiten.
sistor Ti schaltet ein. Nun wird die am Kon-
densator Ci hegende Spannung auf die Ein- Die Grundschaltung ist in Bild 17-7 a darge-
gangsspannung UE aufgestockt und ein Teil von stellt. Die ungeregelte und mit dem Innenwider-
dessen Ladung iiber die Diode D2 in den schon stand Ri behaftete Eingangsspannung U^ wird
durch die Eingangsspannung geladenen Kon- iiber das durch den npn-Transistor T realisierte
densator C2 gepumpt. Stellglied in ihrer Amphtude beeinfluBt.
Die Ausgangsspannung wiirde nahezu die dop- Erhoht sich (7^, so wird die Eingangsspan-
pelte Eingangsspannung erreichen, wenn sie nungs-Differenz [/j des Regelverstarkers Ui>
nicht um die Schwellspannungen der beiden Di- |0V|. Diese Differenzspannung wird bei der
oden D^ und D2 verringert wiirde. Verwendung eines Operationsverstarkers IC
Eine Invertierung der Eingangsspannung erhalt mit dessen Maximal-Verstarkung verstarkt und
man durch das Verlegen der beiden Dioden in damit der Basisstrom — I^ solange verringert,
die Riickleitung. Dabei zeigen deren Kathoden bis im Idealfall die Eingangs-Spannungsdiffe-
auf den Source-AnschluB des Transistors T2, renz t/j = 0 V ist. Im ausgeregelten Zustand ist
und der Knoten zwischen den beiden Dioden die Ausgangsspannung gleich der Referenz-
mit dem Kondensator C^ bleibt verbunden. Der spannung (1/^ = ^Ref)-
Betrag der Ausgangsspannung entspricht der Eine aus nur wenigen Bauelementen bestehende
Eingangsspannung, die um zwei Dioden- Stabihsierungs-Schaltung in Form eines Emit-
Schwellspannungen verringert ist. Mit dem aus terfolgers ist in dem Bild 17-7b wiedergegeben.
den Transistoren T^ und T2 gebildeten Treiber Ein Soll-Istwert-Vergleich fehlt.
lassen sich auch Spannungsvervielfacher nach Die Ausgangsspannung 17^ ist die Differenz
17.2 Verschiedene Ausfuhrungen der Stromversorgung 621

a) Funktion ren C^ und C2 sollen die Zuleitungs-Induktivi-


taten bedampfen und zusammen mit C3 eine
Schwingneigung unterdriicken.
In Bild 17-8 ist im Teil a die Schaltung eines
mit Operationsverstarkern IC realisierten und
DRL voUstandig dimensionierten Langsreglers mit
ruckldufiger Kermlinie (engl.: fold back) darge-
• ^E stellt. Durch eine riicklaufige oder einziehende
Strom-BegrenzungskennHnie vermindert sich
b) einfache Stabilisierungsschaltung die Verlustleistung Py im Langstransistor (Stell-
glied). Diese kann bei aktiver Strombegrenzung
~\r mit der Formel
f^B,

e^ DRL

t^^
beschrieben werden.
c) Langsregler mit diskreten Bauelementen
Bild 17-8b zeigt die Ausgangskennhnien fur
einen maximalen Ausgangsstrom /Amax = l A
-\j- 1=7 und drei unterschiedhche KurzschluBstrome

MR, Die am Stellglied bei einer Eingangsspannung


D R sLi 2^ =r
Cj von [4 = 8 V auftretende Verlustleistung Py ist
®u ID, DRL in Bild 17-8 c dargestellt. Um auch Lasten (elek-
tronische Schaltungen) versorgen zu konnen,
die mit sehr groBen Kondensatoren abgeblockt
*D,
:C,
i^z werden oder deren Kennlinie nichtlinear ist,
i^^E werden KurzschuBstrome /^ > 0 A gewahlt. In
- ^ den meisten Fallen ist ein KurzschluBstrom von
5//J17- 7. Langsregler. /K = 0,3 In,ax ausreichend. Der Spannungsregler
besteht aus den Transistoren T3 und T4, einem
von Zener- und Basis-Emitter-Spannung des Operationsverstarker (Teil 1 vom Typ LM 324)
Langstransistors T. In der Schaltung mit diskre- und der geteilten Zenerspannung (Bild 17-8 a).
ten Bauelementen nach Bild 17-7c findet mit Da die Zenerspannung hoher als die Ausgangs-
dem Transistor T^ ein Vergleich zwischen dem spannung ist, wird diese mit R^ und R2 auf den
Sollwert und dem Istwert statt, und zwar zwi- Wert der Ausgangsspannung geteilt, so daB U^^^
schen der Zener-Spannung U^ ^^^^ ^^r "lit R^ = U^ ist.
und R2 geteilten Ausgangsspannung. Die FluB-
spannung der Diode D^ soil identisch mit der Beispiel
Basis-Emitter-Spannung (7BE von T^ sein und 17.2-1: Wie hoch ist die Zenerspannung C/D2, wenn
so deren EinfluB aufheben. Uber den Wider- bei der angegebenen Dimensionierung die Ausgangs-
stand R4 wird Ti mit Basisstrom versorgt. spannung Uj^ = 5,2Y ist? Die Offsetspannung und
Gleichzeitig flieBt ein kleiner Teil des endgiilti- die Eingangsstrome des Operationsverstarkers kon-
gen Zenerstroms fur die Referenz-Zenerdiode nen vernachlassigt werden.
iiber R3. Im ausgeregelten Zustand wird die
Differenz des Zenerstroms, die zum Erreichen Losung:
eines optimalen Arbeitspunktes benotigt wird, ^1
wird die Zenerspannung [4
iiber den Widerstand R5 und die Diode D3 ein- = 6,3997 V.
gespeist. Da die Ausgangsspannung stabilisiert
Solange die Strombegrenzung nicht aktiv ist, bleibt
ist, kann man eine, durch ihren differentiellen die Diode D^ gesperrt. Als Regler fixr die Strombe-
Innenwiderstand r^ bedingte Anderung der grenzung ist der Teil 1.2 des Operationsverstarkers D
Zenerspannung klein halten. Die Kondensato- vorgesehen. Die Strombegrenzung setzt ein, wenn der
622 17 Stromversorgung

a) Stromlaufplan

lOn
VA

IC1.2
R4 1 5 0 0M
10k 3n3
IC1.1 680p
(=)•. IC1.4 IC1.3
•RL
T, T,
X^ T4
15
Ml1k82
I.
^

u i
"10
^^' 10k R^ 28k7D
lOOju R16
4k75 Ok 56R2'T 10k
1U, iu,

b) rijcklaufige C//-Ausgangskennlinie c) Verlustleistung Py am Stellglied

^*5
^^
^ ^
s •^ y^/^/
a y^y^/
^ 2
c
C35 1 y^ yT
>X/
^
^n 1 ^ y^\ y^ 1 1 1 1 1
0,2 0,4 0,6 0,8 1,0 0,2 0,4 0,6 0,8 1,0
Ausgangsstrom / A / A Ausgangsstrom/A/A

Bild 17-8. Ldngsregler mit ruckldufiger Strombegrenzung.

mit dem Widerstand Rg gemessene Strom den glei- Losung:


chen Spannungabfall ergibt, wie den an dem Wider-
a) Der Einsatzpunkt der Strombegrenzung (/Amax)
stand Rg eingestellten. Uber die Diode D^ wird dem
und damit der Maximalstrom wird wie folgt ermittelt:
Spannungs-Regelverstarker IC^ ^ eine zu hohe Aus- Mit
gangsspannung simuliert. Die Vergleichsspannung an
dem Widerstand R5 wird aus der Summe der Kollek- ^A max ~ (^Cl max "I" ^C2 ) ^ 6 / ^ 8
torstrome /^ der Transistoren T^ und T2 gebildet. Bei
und mit
Vernachlassigung der Basisstrome sind die Emitter-
strome gleich den Kollektorstromen (Anmerkung: n- • ' C l max •= C/Amax/^7 ^nd /c2 = t/^ef/^Q
Kanal-MosFET-Transistoren waren an dieser Stelle
nahezu ideal, da der Drain-Strom gleich dem Source- wird
Strom ist). Der Kollektorstrom 7^2 des Transistors T2
wird von der Referenz-Spannung durch den Wider-
b) Im KurzschluBfall ist der Kollektorstrom / ^
stand R9 und der Kollektorstrom / ^ von der Span-
= 0 A, da an R 7 keine Spannung mehr anliegt. Der
nung iiber R7 bestimmt. Die Spannung, die an dem
KurzschluBstrom betragt 7^ = 153 mA.
Widerstand R7 liegt, ist mit der Ausgangsspannung
identisch.
Integrierte Spannungsregler
Beispiel Von den integrierten Spannungsreglern (engl:
17.2-2: a) Bei welchem Strom /A max ^etzt die Strom- monolithic voltage regulators) sind besonders
begrenzung ein? b) Wie hoch kann der KurzschluB- die Ausfuhrungen mit integriertem Langstran-
sistor und nur drei Anschliissen von Bedeutung.
17.2 Verschiedene Ausfiihrungen der Stromversorgung 623

Diese sind, jeweils fur positive und negative strom in die Last flieBt, muB der Laststrom
Spannungen, als Festspannungsregler oder ein-
stellbare Spannungsregler erhaltlich (Tabelle / ^ > 0 A , (/^,,„>5mA)
17-2). sein, um ein Hochlaufen der Spannung zu ver-
Ihre typischen Regeleigenschaften sind in Ta- meiden. Die Referenzspannung (17^^^ = 1,25 V)
belle 17-3 zusammengestellt. Die Differenz- wird zwischen dem AnschluB „Adjust" und dem
spannung zwischen dem Eingang und dem Aus- Ausgang und damit auch an dem Teilwider-
gang muB mindestens 3 V betragen, abgesehen stand i^i = 240 Q aufgebaut. Bild 17-9 zeigt ei-
von Typen mit einem pnp-Langstransistor. Zu- nen einstellbaren dreipoligen Spannungsregler
satzlich zu einer Strombegrenzung wird auch mit seiner auBeren Beschaltung. Der Wider-
die Kristalltemperatur auf i9j = 125°C begrenzt. stand Ri soil unmittelbar an den Ausgangs-
Durch die Wahl eines Kiihlkorpers mit definier- anschluB des Reglers plaziert werden, da sich
tem Warmewiderstand kann man die maximal sonst der Spannungsabfall von dem Ausgangs-
mogliche Verlustleistung des Reglers begrenzen. Leitungswiderstand auf die Referenzspannung
Die interne Schaltung von integrierten und ein- aufstocken wiirde. Den FuBpunkt von R2 aber
stellbaren dreipoligen Reglern liegt zwischen ih- kann man, um den Widerstand der Riickleitung
rem Eingang und dem Ausgang. Da ihr Ruhe- zu kompensieren, als negative Fiihlleitung bis

Tabelle 17-2. Integrierte Spannungsregler.


Festspannungsregler Einstellbare Regler
Ausgangsspannung/V
5, 6, 8, 12, 15, 24

Ausgang /<0,1 A / > 0,1 A / < 0,1 A / > 0,1 A

positiv |iA 78 Lxx |iA 78xxC LM 317 L LM 2001)


LM 2931XX LM 2931 C LM 317

negativ LM 79 Lxx (xA 79 Mxx - LM 337

^) Fiinfpoliger IC fur externen Strom-MeBwiderstand

Tabelle 17-3. Eigenschaften von integrierten Spannungsreglern.


Parameter Fest-Spannungsregler Einstellbare
Spannungsregler

Maximale Eingangsspannung 40 V 40 V

Referenzspannung U^^^ 1,25V±|<4|%

Ausgangsspannung U^ ^A„o.±l<10|%

Temperatur-Koeffizient T^ <200ppm/K < 80 ppm/K 1

Lastausregelung
(bei max. Anderung von /^ und U^ = konstant) ^A„o.±l<2|% ^Anon,±l<l|%

Minimale Spannungsdifferenz
zwischen Eingang und Ausgang 0,6 V<zlL7< 3,3 V <2,5V^)

^) genaue Angaben der Hersteller fehlen (ppm. engl.: parts per million).
624 17 Stromversorgung

Kapazitatsbereich 0,1 l^F < C2 < 20 jiF vermie-


Adjust
den werden. AuBerdem soil der Kondensator
C2 keine hohe Giite haben, weshalb Folienkon-
^Adj 0240 R densatoren zum Abblocken von Langsreglern
©^i 4=C, [JRL ungeeignet sind.
]R2 4=C
i^E iu. Shuntregler (Parallel-Stabilisierung)
1=^ Werden nur kleine Strome benotigt (mA-Be-
Bild 17-9. Einstellbarer dreipoliger Spannungsregler. reich), so kann die Verwendung eines Shunt-
reglers vorteilhaft sein.
zur Last fuhren. Der Strom /^ = U^^JR^ ist In Bild 17-10 ist der Regler TL 431 zusammen
konstant. Deshalb verursacht der Strom I^^-^ mit seiner externen Beschaltung dargestellt. Bei
nur an R2 einen Fehler (J^dj' ^2)- Damit ist die ihm ist der als Stellglied dienende Transistor
Ausgangsspannung wie folgt zu bestimmen: zwischen dessen Eingang und seiner Bezugs-
masse angeordnet. Der Regler ist mit Querstro-
men bis zu /s < 100 mA belastbar. Er enthalt
mit eine Referenz-Spannungsquelle {V^^^) und einen
/,,j < 100 ^lA. Operationsverstarker mit nachgeschaltetem
Um den Innenwiderstand zu verringern, darf Darlington-Transistor und ist universell einzu-
man den Ausgang mit C2 und parallel zu R2 mit setzen. Der Regler dient als einstellbare Zener-
einem Kondensator C3 beschalten. Um bei lan- diode und auch als Referenz-Spannungsquelle
geren Eingangsleitungen (1 > 15 cm) ein Oszil- fur U >U^Qi nach Abgleich eines externen
lieren zu vermeiden, wird der Eingang mit C^ Spannungsteilers oder fixr pulsbreitengeregelte
abgeblockt. Unter Abblocken ist das Einfugen Stromversorgungen. Hierzu wird in Serie zum
von Kondensatoren zu verstehen, die den Ein- Widerstand Ry die Diode eines Optokopplers
flu6 der Induktivitat von Zuleitungen oder der geschaltet. Mit den Widerstanden R^ und R2
Schaltung auf die Versorgungsspannung besei- wird die Ausgangsspannung auf den Wert der
tigen. Referenzspannung geteilt.
Beispielsweise verhalt sich die Ausgangsimpe-
danz des Spannungsreglers LM317 induktiv. Zur tJbung
Um ein Schwingen dieses Reglers und ver- U 17.2-1: Ein Langsregler, wie er in der Schaltung
gleichbarer Typen zu vermeiden, soil fur den Bild 17-7 c dargestellt ist, soil fur eine Ausgangsspan-
ausgangsseitigen Abblockkondensator C2 der nung von 17^ =

a) Innen- und AuBenbeschaltung b) Stromlaufsymbol


(amerikanische
Vorwiderstand Rw Darstellungsweise)

TL431

QR-
Cathode
\\K (K)
<^^
\\^2
Referenz
(R) -s Anode
(A)

tt^E iu.

Bild 17-10. Integrierter Shuntregler TL 431.


17.3 Getaktete Stromversorgungen 625

Hilfsspannung Lei stungsend stufe

-^l
1
Qjieichr. riiter Filter
•^^f^
J ^>^ L
—^— rxy —^ • 1 -^^^ 11 1 "^
1
Filter Gleichr. Filter m> - ^ ^ "^ O
1 DM |CM 1
U^O*^ -N-
1 P<^ 1 Oberw.
CM 1^1

J^t i
L Option
. J Steueruna
1 r-" -1—1 Un
r! 00.. Regelverstarker
Osz.
JL/1
1
1 ^p
.- und Referenz |
L J •°
\K_ <\
Ootion

J 1
galvanische Trennung

Bild 17-11. Blockschaltung einer pulsbreitengeregelten Stromversorgung.

realisiert werden. Die Eingangsspannung JJ^ kann nik, die iiblicherweise aus der Leistungsendstufe
19V^L/E^28,8Vbetragen. gewonnen wird. Nur wahrend der Einschalt-
a) Dimensionieren Sie den Widerstand R^ und seine phase oder bei einem Fehler erhalt man die
Belastung. Der Transistor T^ hat eine Gleichstrom- Hilfsspannung direkt aus der Eingangsspan-
verstarkung B ^ 20, der Kollektorstrom /^ vom Tran- nung.
sistor Tj ^^^^ ^c(T2) = 1 ^ ^ ^^^^' ^^^ ^^^ Basis-Emit-
terspannungen werden mit l/gE = ^fi'^ ^ angenommen.
17.3.1 Pulsbreitenmodulation
b) Wie groB sind die Gesamtverluste Py und der
Wirkungsgrad r] der Schaltung bei einer Eingangs- Fiir getaktete Wandler verwendet man das Ver-
spannung von [/g = 24 V? Die Widerstande haben fahren der Pulsbreitenmodulation zur Steue-
die Werte R^ = 5,9 kQ, R^ = 12,8 kQ, R^ = 17,5 kQ, rung oder Regelung der Ausgangsspannung.
R^ = 300 Q; die Zenerspannung der Diode D2 betragt Dabei wird die Eingangsspannung U^ peri-
odisch unterbrochen und mit variabler Puls-
breite wieder eingeschaltet. Die Verwendung
von Pulsbreitenmodulation zur Spannungs-
17.3 Getaktete Stromversorgungen regelung von Stromversorgungen ermoglicht
In getakteten Stromversorgungen (unzutreffen- einen gegeniiber Verlustreglern wesentlich gro-
derweise auch Schaltregler genannt) wird eine Beren Wirkungsgrad. Bei konstanter Ausgangs-
Gleichspannung mit Halbleiterschaltern zer- leistung bleibt auch die Eingangsleistung kon-
hackt. Die pulsierende Gleichspannung kann stant. Die Eingangskennlinie ist demnach
man mit Hilfe eines Energiespeichers, wie Kon- hyperbelformig, und damit ist der Eingangs-
densator oder Drossel, aber auch durch einen widerstand negativ.
Transformator in eine andere Spannung umfor- Normalerweise wird die Schaltfrequenz /^ kon-
men. Ein Blockschaltbild eines vollstandigen stant gehalten, das heiBt, daB die Einschaltzeit
Wandlers ist in Bild 17-11 dargestellt. ^ein und die Ausschaltzeit t^^^ variabel sind. Mei-
Ober zwei Filter gelangt die Eingangsspannung stens wahlt man Schaltfrequenzen f^, die deut-
U^ an den Transformator der Leistungsend- lich liber dem Horbereich des menschhchen
stufe, in welcher sie von einem MOSFET zerhackt Ohres Hegen.
wird. Die gleichgerichtete und mit nur einer Zum Beispiel ist eine Pulsbreitenmodulation
Stufe gefilterte Sekundarspannung wird von ei- mit variabler Frequenz und konstanter Ein-
nem Regelverstarker mit einer Referenzspan- schaltzeit oder starrer Ausschaltzeit moglich,
nung verglichen. Der Regelverstarker treibt die aber wegen des oft hohen Aufwandes zur Funk-
Sendediode eines Optokopplers, dessen Photo- entstorung nicht sinnvoll (Abschn. 17.6.3). In
transistor mit einem Pulsbreitenmodulator der Praxis verwendet man Schaltfrequenzen im
(PWM) verbunden ist, der seinerseits das Gate Bereich 20 kHz < / , < 100 kHz, wobei der Be-
des Leistungsendstufen-Transistors steuert. reich 30 kHz </^ < 50 kHz am haufigsten an-
Eine Hilfsspannung versorgt die Steuerelektro- zutreffen ist.
626 17 Stromversorgung

17.3.2 DurchfluBwandler a) Schaltprinzip


S. / i _ L
Die Bezeichnung DurchfluBwandler beschreibt -o ( —n—I I —
die prinzipielle Arbeitsweise eines im folgenden
nur noch Flufiwandler genannten Konverters. f 2
T
Ci
Bei ihm findet der EnergiefluB vom Eingang RRL
zum Ausgang nur wahrend der Einschaltzeit
des Schalttransistors statt. Der FluBwandler
hat neben seiner Grundform, dem Tiefsetzstel- i^E t^A
ler, zahlreiche Varianten. Aber auch Mischfor- u ^
men, also Kombinationen von FluBwandlern
und Sperrwandlern sind bekannt.
b) minimaler Drosselstrom

17.3.2.1 Tiefsetzsteller <- ,


'7'vy2 /\
•-H

Mit Tiefsetzsteller bezeichnet man die Grund-


schaltung des DurchfluBwandlers (engl.: buck / A7.
Lax |_

converter, step down converter) entsprechend \/'\


Bild 17-12. Auch die Bezeichnung Drosselwand- ^-
t/s
ler findet gelegentUch Verwendung. Der FluB-
wandler ist dadurch charakterisiert, daB wah- c) I^>AI^/2
rend der Einschaltdauer [t^-^J seines Schalttran-
sistors, hier durch den Schalter S dargestellt, der < \— s~
Strom I'l vom Eingang iiber die Speicherdrossel y2 A/,-j-
L zum Ausgang des Wandlers flieBt. Die wah-
rend der Einschaltzeit {t^^^) des Schalters S von \J '
der Drossel L aufgenommene Energie wird bei ^A

wieder geoffnetem Schalter {t^^^) uber die Frei-


laufdiode D (engl: catch diode) an den Ausgang " ^ , ^-
t/s
des Wandlers abgegeben (12). Durch das Spei-
chern von Energie wahrend der Einschaltzeit d) Spannung UQ an der Diode D
und deren Abgabe bei geoffnetem Schalter S
> <I
(Sperrphase) bildet die Speicherdrossel mit 0
(^F

Hilfe der Freilaufdiode D und des Siebkondens-


ators C2 den Mittelwert der zerhackten Ein-
gangsgleichspannung. Die Amphtude der Aus-
gangsspannung L/^ entspricht dem arithmeti-
schen Mittelwert der mit dem Tastverhaltnis d ^ t/s
^ein
(engl.: duty cycle) durchgeschalteten Eingangs- ^aus

spannung U^. Es gilt


Bild 17-12. Tiefsetzsteller.
U^ = dU^ (17-7)

wobei d = "ein 1 r ein kondensator C2 verlustfrei sind, die Induktivi-


— und / = — 1st. tat der Drossel L konstant und der Wert des
T T
Diese Beziehung gilt nur bei nicht unterbroche- Kondensators C2 sehr groB sind. Folgende pa-
nem Drosselstrom /L- Das bedeutet, daB der rasitare und ungewoUte Effekte, die aber in der
Drosselstrom /L auch wahrend der gesamten Praxis von groBer Bedeutung sind, vernachlas-
Sperrphase des Schalttransistors flieBen muB sigt man: die kapazitive Biirde (Wicklungs-
(Bild 17-12 b und c). Um die Funktionsbe- kapazitat) der Speicherdrossel L und die Sperr-
schreibung der Grundschaltung zu erleichtern, erholzeit t^ (^iigl-- reverse recovery time) der
nimmt man an, daB der Schalter S, die Freilauf- Freilaufdiode D. Der Tiefsetzsteller (Bild 17-12)
diode D, die Speicherdrossel L und der Sieb- arbeitet folgendermaBen:
17.3 Getaktete Stromversorgungen 627

Der Schalter S wird geschlossen, und der Strom BelaBt man das Tastverhaltnis d trotz lucken-
/ i flieBt durch die Drossel L in den Konden- dem Drosselstrom konstant, so steigt die Aus-
sator C2 und die Last Ri^. An der Drossel liegt gangsspannung an. Das Tastverhaltnis d miiBte
nun die Spannung {U^ — Uj^). Die Drossel muB sich nun wesentlich starker vergroBern, als dies
die Spannungs-Zeitflache Ut = {U^—Uj^)t auf- fiir den nicht liickenden Betrieb gilt. Deshalb ist
nehmen, wodurch der Drosselstrom, beginnend zu fordern:
von seinem Minimalwert an der Stelle t^, iiber
die Zeit um den Betrag Z1/L linear ansteigt. Der Bei nicht liickendem Drosselstrom und kon-
allgemeine Ausdruck fur den gesamten Drossel- stanter Ausgangsspannung andert sich das
strom lautet: Tastverhaltnis d umgekehrt proportional
zur Eingangsspannung.

Kann die Pulsbreite nicht genugend verringert


werden, so ist die Verwendung einer Grundlast
(engl.: bleeder) innerhalb einer Stromversor-
wobei der Term J U^^ dt durch das Induktions- gung erforderlich.
0 Wiirde man die als Ventil wirkende Diode
gesetz beschrieben wird. Aus der Beziehung durch einen Schalter (Synchron-Gleichrichter)
d/
U = L-— errechnet sich die Stromamplitude ersetzen, so trate kein liickender Strom mehr
auf, da sich mit C2 als Spannungsquelle die
AIi^ = {U^—U^)t^i^/L, um die der Drossel- Richtung von 12 umdrehen wurde. Der Drossel-
strom linear ansteigt. strom wiirde nun negativ, und der Ausgang
Wird der Schalter S geoffnet (Zeitpunkt ^1), so brauchte nicht mehr belastet zu werden, um ein
muB der stetig sinkende Drosselstrom I2 iiber Ansteigen der Spannung zu vermeiden.
die Diode D solange weiter flieBen, bis der Erhoht man den Ausgangsstrom iiber den als
Schalter S erneut geschlossen wird (^2) oder der Liickgrenze definierten Minimalwert hinaus, so
Drosselstrom /L vollstandig abgeklungen (d. h. ergibt dies die in Bild 17-12c dargestellten tra-
null) ist. pezformigen Strome I^ und 12. Nach dem Ein-
schalten des Schalttransistors ist dann der
AI^ = U^t^JL=UAT-t,,^ )/L. Drosselstrom /L groBer null. Damit wird der
Der bei geschlossenem Schalter S linear anstei- Ausgangsstrom /^ = /L A1J2. Fur die Di-
gende und nach dessen Offnen wieder gleich- mensionierung der Speicherdrossel sind der mi-
formig sinkende Drosselstrom hat einen drei- nimale Ausgangsstrom I^^^^ = AI^^Il und der
eckformigen oder trapezformigen Verlauf. Wird maximale Ausgangsstrom eines Konverters die
der Drosselstrom zu null und der Schalter so- wesentlichen GroBen. AuBerdem geht noch die
fort wieder eingeschaltet, so daB der Drossel- maximale Ausschaltzeit t^^^ oder daraus abge-
strom (ohne daB er vorher unterbrochen wird) leitet das minimale Tastverhaltnis ein. Um ein
wieder ansteigt, so ergibt sich an der Drossel ein Liicken der Drossel zu verhindern, muB die In-
rein dreieckformiger Strom mit der Amphtude duktivitat der Drossel der Bedingung
Z1/L . Dieser Strom Z1/L kennzeichnet den klein-
sten kontinuierlichen Drosselstrom und damit ^krit>
auch den minimalen Ausgangsstrom.
Der minimale Drosselstrom ^/Lmin kennzeich- geniigen oder, anders ausgedriickt, muB
net die Liickgrenze der Drossel, von der ab der
Drosselstrom gerade auf der oszillografierten ([/A+[/F)(1-4
^krit> (17-9)
NuUinie aufsitzt. Der Drosselstrom wird gerade 71 f
null (At^O), bevor der Schalter S wieder Amin Jsmin
schheBt. Der Mittelwert des rein dreieckformi- sein, wobei /^ die Schaltfrequenz, t/p die FluB-
gen Drosselstroms Z1/L und damit der minimale spannung der Diode D und d das Tastverhaltnis
Ausgangsstrom I^^^^ betragt: I^^,, = AIJ2. sind. Wegen der Vormagnetisierung des Kern-
Bei Ausgangsstromen, die diesen Wert unter- materials und der thermischen Belastung der
schreiten, fangt der Drosselstrom an zu liicken. Drossel ist auBerdem der maximale Ausgangs-
628 17 Stromversorgung

Strom /A max von wesentlicher Bedeutung. Im a) mit Transformator


Normalfall ist der maximale Drossel-Spitzen-
t>l T gg
strom /LP
• 2 N:
^Lp = ^Amax + ^^L/2.
$D Ni
Hinweise zur Dimensionierung
von Speicherdrosseln
Zur Dimensionierung von Speicherdrosseln
wendet man unterschiedliche Verfahren an.
Manche Hersteller von Ferriten geben eine b) Zwei-Transistor-FluSwandler
Hanna-Kurve an, die fur eine Kernform mit ei- -o 1
nem Material giiltig ist. In den Hanna-Kurven
werden L- P liber der optimalen Durchflutung
als Funktion des Luftspalts dargestellt. Nicht
beriicksichtigt ist die fast ausschiefilich durch
den Kupferwiderstand verursachte Eigenerwar- 0
mung (Kupferverluste) der Drossel. Deshalb ist
eine tabellarische Angabe von optimierten Wer-
ten fur eine vorgegebene Temperaturerhohung
AS praxisgerecht. Hierin sind angegeben: L- P
und die dazugehorigen optimalen Werte fur Bild 17-13. Eintakt-Flufiwandler.
N ' /, die Breite des Luftspaltes SL und die effek-
tive Uberlagerungspermeabilitat jU^ff (z1) bei ei- ert wird (I. Quadrant der 5H-Schleife) und die
ner defmierten Temperaturerhohung AS. An- Werte fur die Feldstarke durch die Neukurve
dere Hersteller beschranken sich auf die Angabe begrenzt sind, benotigt dieser in der Kegel eine
der reversiblen Permeabilitat in Abhangigkeit Abmagnetisierungswicklung N3. Diese Wick-
von der Feldstarke H mit der effektiven Per- lung N3 ermoglicht eine kontrollierte Abgabe
meabilitat als Parameter. Diese Kurven gestat- der vom Transformatorkern selbst aufgenomme-
ten eine Abschatzung des Verlaufs der Indukti- nen Magnetisierungsenergie. AuBerdem wird
vitat bei zunehmender Gleichstromvormagne- die KoUektor-Emitter-Spannung des Transi-
tisierung. stors begrenzt.
17.3.2.2 Eintakt-FluBwandler Der Wickelsinn der einzelnen Transformator-
mit Transformator wicklungen ist durch Punkte gekennzeichnet.
Bei eingeschaltetem Transistor T liegt die Ein-
Am haufigsten verwendet man den Eintakt- gangsspannung U^ an der Wicklung N^, und
FluBv^andler (engl.: single ended forward con- die Eingangsspannung wird entsprechend dem
verter) genannten Konverter. Deshalb sei nach- Verhaltnis der Windungszahlen zueinander auf
folgend naher auf diese Ausfuhrungsform einge- die iibrigen Wicklungen iibertragen. Die Span-
gangen (Bild 17-13). An der Schaltung im Bild nung an der Sekundarwicklung N2 ist
17-13 a ist leicht zu erkennen, daB der Tiefsetz-
steller durch das Einfugen des Transformators
Tr sowie durch die zwei Dioden D2 und D3 tjber die nun leitende Diode D2 wird der daran
erganzt ist. An die Stelle des Schalters S beim angeschlossene Tiefsetzsteller gespeist (Abschn.
Tiefsetzsteller (Bild 17-12) ist die Diode D2 ge- 17.3.2.1). Wahrend der Einschaltdauer des
treten. Die Funktion des Schalters S wird vom Transistors T ist der iibersetzte Ausgangsstrom
Transistor T iibernommen, der in Serie zur Pri- I[ = I IS ^1/^2 d^s Transformators von seinem
marwicklung N^ gelegt ist. eigenen Magnetisierungsstrom I^j^. iiberlagert.
Der Transformator Tr ermoglicht nicht nur eine Damit wird der Primarstrom
Potentialtrennung, sondern durch die Wahl ei-
nes geeigneten tJbersetzungsverhaltnisses ein
auch in der Praxis reahsierbares Tastverhaltnis. Nach dem Ausschalten des Transistors T flieBt
Da der Transformator T nur unipolar angesteu- der Magnetisierungsstrom des Transformators
17.3 Getaktete Stromversorgungen 629

I^j uber die Diode D3 und die Wicklung N3 dmax — ^'25 begrenzt ist, die Eingangsspannung U^
zuriick in die Quelle. Solange der Transforma- = 24,8 V und die Dioden-DurchfluBspannung U^^^y^)
tor abmagnetisiert wird, liegt an der Wicklung = 0,8 V betragt?
N3 die Spannung U^ + 1/F(D3) ^^' Diese Span-
nung liegt entsprechend dem Verhaltnis der Losung:
Windungszahl auch an der Wicklung N ^ . Die
Mit 1/NI r,i„ = C/N3 t^^3 und d =-~
Spannung an N^ addiert sich zur Eingangs-
spannung und liegt am Kollektor des Transi- V^ N,
stors T. wird U^ l/^i und mit
\-d
Nachdem der Abmagnetisierungsstrom nicht
mehr durch die Diode D3 flieBt, sinkt die Kol- wird das Verhaltnis der Windungen zueinander
lektorspannung relativ langsam, bis diese auf
der Eingangsspannung verharrt. Sobald die Di-
ode D3 stromlos ist, wird ein Schwingkreis, der
aus der Hauptinduktivitat Lj^ des Transforma-
tors und seiner Wicklungskapazitat C gebildet In der Sperrphase des Transistors mufi der Trafo iiber
wird, nicht mehr durch Schaltungselemente be- seine Wicklung N3 abmagnetisieren. Der Magneti-
dampft. Deshalb entspricht die Kurvenform, sierungsstrom flieBt iiber die Diode D3 in den Ein-
mit der die Kollektorspannung absinkt, einem gang zuriick. An der Wicklung N3 liegt die Spannung
Cosinus zwischen < 0° und 90°. N3 — "^E" ^F(D3)- Demnach ist in der Sperrphase
t/N3=t4
Wenn die Kollektorspannung den Wert der Ein- die Spannung an der Wicklung N^
gangsspannung erreicht hat, versucht die 1-d N,
Schwingkreisspannung sich umzupolen. Daran
wird sie aber gehindert, da die Spannung an der
Sekundarwicklung N2 nur leicht positiv werden Damit wird die Kollektorspannung
kann. An der Freilauf-Diode D^ bildet der
Drosselstrom I^ eine FluBspannung. Da die
FluBspannung an der Gleichrichter-Diode D2
fast gleich groB ist, kann die Spannung an der Fiir das Beispiel ergibt sich, daB an der Wicklung N^
Sekundarwicklung nur nahe null Volt sein. 1 - 0,25
Um eine Sattigung des Transformatorkerns zu die Spannung U^^ = — (24,8 V - 0,8 V) = 72 V
verhindern, muB die wahrend der Einschaltzeit liegt und damit am Kollektor t/cE(T) = ^MI + ^E
des Transistors T, also die wahrend der Auf- = 72 V + 24,8 V = 96,8 V Dies ist die hochste Kol-
magnetisierungszeit gebildete Spannungs-Zeit- lektorspannung. Die maximale Kollektorspannung
flache U • t^-^^ gleich der Spannungs-Zeitflache fiir C/p(D3) <^ t/g erhalt man mit der vereinfachten Be-
zum Abmagnetisieren U^ • t^^^ sein. Die Span- ziehung
nungs-Zeitflache wird auf eine Windung bezo-
gen, so daB folgende Gleichung erfullt werden (17-11)
muB:
Bei einem maximalen Tastverhaltnis d^^^ < 0,5 wiirde
UEt,JN, = U^t,JN, mit d = t,JZ die Kollektorspannung nur 48,8 V betragen.
womit
Ein maximales Tastverhaltnis d^^^ < 0,5 ist sehr
N^il-d) = N^d wird. einfach zu verwirklichen. Bei den meisten Kon-
Wahlt man gleiche Windungszahlen fiir beide vertern wird d < 0,5 gewahlt, da einerseits die
Primarwicklungen N^ = N 3 , so darf, um beide hierzu erforderlichen Diodensperrspannungen
Stromversorgungs-Zeitflachen gleich groB zu und andererseits eine nicht unnotig hohe Kol-
erhalten, das maximale Tastverhaltnis d<0,5 lektorspannung eine wirtschaftliche Losung er-
sein. leichtern. AuBerdem bietet man eine groBe Zahl
von integrierten Steuer-Schaltkreisen an, deren
Beispiel Tastverhaltnis d<0,5 ist. Bei einem Tastver-
17.3-1: Wie hoch wird die Kollektorspannung UQ^ haltnis d = 0,5 muB das Windungszahlverhalt-
eines Transistors T, wenn das Tastverhaltnis d auf nis N1/N3 = 1/1 sein, womit die maximale Kol-
630 17 Stromversorgung

lektorspannung fast der doppelten Eingangs- Anhand nachstehender Beziehungen kann man
spannung entspricht. Um den Transistor nicht das Windungsverhaltnis Ni^/N2 ermitteln. Un-
zu gefahrden, ist der sichere Arbeitsbereich ter Berucksichtigung der Dioden-FluBspan-
(engl.: Safe Operating Area = SOAR) unbedingt nung gilt
einzuhalten. Es muB sichergestellt sein, daB die-
ser Bereich insbesondere beim Ausschalten des l/A = d[l/N2+f^F(Dl)]
Transistors, also wahrend des Ubergangs vom
gesattigten in den gesperrten Betrieb, niemals U,N2min " -u, F(D1)
iiberschritten werden kann.
Die Wahl einer geeigneten Gleichrichter-Tech- N, = N, t/,N2min
nologie (Schottky-Dioden oder bipolare Di- ^Emin
oden mit schneller Sperrerholzeit) ist von der
maximalen Dioden-Sperrspannung abhangig ^ A ~ ^max ' ^ F ( D l )
N, = N,
und soil naher besprochen werden. Nur die "max ^Emin
Gleichrichterdiode D2 soil naher betrachtet
werden, da diese eine hohere Sperrspannung als 17.3.2.3 Flufiwandler-Varianten
die Freilaufdiode D^ haben muB. Zur Verein-
fachung vernachlassigt man die FluBspannun- Zwei-Transistor-FluBwandler
gen der Dioden, die Sattigungsspannung des (double ended forward converter)
Transistors und die Kupferwiderstande der in- Stehen zur ReaHsierung von Eintakt-FluB-
duktiven Bauelemente. In der Sperrphase be- wandlern mit hohen Eingangsspannungen
stimmt die iibersetzte Spannung der Abmagne- nicht ausreichend sperrfahige Schalttransisto-
tisierungs-Wicklung [7^3 zusammen mit der ren zur Verfugung, dann bietet sich dieser Kon-
Ausgangsspannung und der eventuell aus- verter an. Den in Bild 17-13 b dargestellten
schwingenden Drossel-Spannung die fur D2 er- Konverter bezeichnet man auch als Flufiwandler
forderliche Sperrspannung. mit asymmetrischer Halbbrucke. Mit Ausnahme
In der Sperrphase ergeben sich folgende Einzel- der nachfolgend erwahnten Besonderheiten ar-
spannungen: beitet dieser Wandler wie der Eintakt-FluB-
wandler. Bei dem Zwei-Transistor-FluBwandler
werden die beiden Transistoren T^ und T2
An D^ liegen die Sperrspannungen U^: gleichzeitig eingeschaltet und damit die Ein-
gangsspannung U^ an die Primarwicklung Np
^ R ( D l ) = ^ V 2 ~ ^F(D2)» des Transformators Tr^ gelegt. Nach dem
positiver Drosselstrom (Normalfall). gleichphasigen Ausschalten beider Transistoren
flieBt der vom Transformator aufgenommene
^ R ( D 1 ) = ^ N 2 + ^ A + «L- Magnetisierungsstrom iiber die Dioden D3 2
Die Drossel liickt und schwingt mit ihrer und Dg^ in den Eingang zuriick. Zu dem be-
eigenen Resonanzfrequenz. deutendsten Vorteil dieses Wandlertyps gegen-
^R(Dl)<^N2+2t/A. iiber dem Eintakt-FluBwandler gehort seine
niedrige Transistor-Sperrspannung. Diese ist
Grenzfall fur die luckende Drossel.
mit der Eingangsspannung JJ^ nahezu identisch
Im Grenzfall stockt sich der momentane Maxi- und es gilt
malwert der frei ausschwingenden Drosselspan-
nung L/L ^^f ^i^ statische Ausgangsspannung CE(Tl) = [ / E + ^ F ( D 3 . I ) und
auf. Dieser Fall kann unbeabsichtigt eintreten, CE(T2) F(D3.2)-
wenn der Konverter abgeschaltet werden soil
oder die Last zu stark verringert wird. Die ma- Ein zusatzlicher Vorteil ist das Fehlen einer se-
ximale Sperrspannung betragt: paraten Abmagnetisierungs-Wicklung am Lei-
stungstransformator Tr^. Diesen Vorteilen steht
ein vergleichsweise hoherer Schaltungsaufwand
f/iR(Dl)max < ^ [ l ^ E . a x + t / F , D 3 ) ] + 2t/A- gegeniiber. Der Transistor T2 muB gegeniiber
iV. dem Transistor T^ potentialgetrennt angesteuert
(17-12)
werden. Dieses geschieht durch den zusatzh-
17.3 Getaktete Stromversorgungen 631

chen Transformator Tr2. AuBerdem sind jeweils In der Sperrphase bestimmt der Kondensator
zwei Leistungstransistoren und Abmagnetisie- mit der jeweils hoheren Spannung den weiteren
rungsdioden notwendig. Ablauf. Solange in der Sperrphase der Kon-
densator C3 eine hohere Spannung hat als der
Eintakt-Flufiwandler mit Koppeldrossel Kondensator C2, be wirkt dieser iiber den
Strom 1*3 2 und die als Transformator wirkende
Diese Schaltungsvariante eines DurchfluB- Koppeldrossel den Strom 131. Die transforma-
wandlers (Bild 17-14a) verzichtet auf separate torische Kopplung bleibt solange wirksam, bis
Speicherdrosseln fur jeden Ausgang. Dieses fiir die Strome gilt
Prinzip ist bei jedem FluBwandler anwendbar
und besonders vorteilhaft, wenn die Ausgangs- isi = is2 und 13.1 = ^3.2-
spannungen gleich hoch sind. Wenn auBerdem
beide Ausgangsstrome gleich sind, arbeitet die Gegentaktwandler
Koppeldrossel wie zwei voneinander getrennte Bei einem Gegentaktwandler (engl.: push pull
Speicherdrosseln. converter; Bild 17-15 a) arbeiten die beiden
Transistoren T^ und T2 im Gegentakt. Jeder der
beiden Transistoren wird innerhalb einer Peri-
a) mit Koppeldrossel
ode fiir eine jeweils gleich groBe Zeitdauer
01 y durchgeschaltet. Durch die gegenpolige Aus-
$D. ^
'2i N,

-A
— ^ — T —
l^-
r. T ?77~l
steuerung des Transformator-Kernmaterials
werden alle vier Quadranten der 5/f-Schleife
durchlaufen. Der Mittelwert des Flusses im
Transformator ist gleich null. Prinzipiell kann
6 der Transformator eines Gegentaktwandlers
t^F
kleiner sein als derjenige eines Eintaktwandlers,
i>U. da der Transformatorkern, zumindest theore-
b) mit transduktorgeregeltem Nebenausgang
tisch, um AB = 2B aussteuerbar ist. Bei Ein-
I—|Primarregelung|—
taktwandlern ist der Kern des Transformators
nur um AB = B — B^ aussteuerbar. B^ ist die
Tr J?1 . im Kern bleibende Remanenzflufidichte (Rema-
I - ^t>i1 — fT ^ g Tp?—I
nenz).
Wahrend der Transistor T^ eingeschaltet ist,
$D. y 01 t M f f <> flieBt der Primarstrom i^ und iiber die Diode
J?' Dj der sekundarseitige Strom z\s- ^^i einge-
0 i'3.3 4/3.2
l^ schaltetem Transistor T2 flieBt der Primarstrom
•o f *
Sekundar-
12 und der iibersetzte Sekundarstrom 125. Sind
regelung beide Transistoren gesperrt, dannflieBtder Ma-
gnetisierungsstrom /L der Drossel L in die Mit-
Bild 17-14. Eintakt-Flufiwandler mit Mehrfachaus- telanzapfung der Sekundarwicklung und teilt
gdngen. sich in die beiden Wicklungshalften auf.
Die Spannungen an den beiden Teilwicklungen
Bei ungleichen Ausgangsstromen wirkt die sind entgegengesetzt gleich groB, so daB die
Koppeldrossel zusatzlich als Transformator. Summenspannung an der Wicklung nufl wird.
Wahrend der FluBphase des Transistors T ver- Hierdurch ist die Sekundarwicklung zwischen
halten sich die Ausgange, als waren die Trans- den Anoden beider Gleichrichter-Dioden quasi
formatorwicklungen N2.1 und N2.2 wechsel- kurzgeschlossen, und der Magnetisierungs-
spannungsmaBig parallel geschaltet. Wird bei- strom des Transformators verringert sich nur
spielsweise der Ausgang 2 weniger belastet als sehr langsam mit der Zeitkonstanten T = RjL.
der Ausgang 1, dann steigt die Spannung der Dies bedeutet eine nahezu unveranderte Aus-
Wicklung N2.2 etwas an, die DiodenfluBspan- steuerung auf der Hysteresekurve (5//-Schleife)
nung 1/F(D5) sinkt, und die Spannung am Kon- und somit keine Riickkehr zum Remanenz-
densator C3 steigt iiber den Wert der Spannung punkt. Um den vollen Induktionshub des Kern-
des Kondensators C2 an. materials (± B) ausniitzen zu konnen, ohne daB
632 17 Stromversorgung

a) Gegentaktwandler
spannung liegt an der Primarwicklung. Da ins-
(push-pu I l-converter) besondere bei dem Brucken-Gegentaktwandler
der Schaltungsaufwand sehr hoch ist, wendet
man dieses Wandlerprinzip nur bei Ausgangs-
leistungen von mehreren hundert Watt an.

17.3.2.4 Transduktor (Sattigungsdrossel)


Bei einem Transduktor nutzt man die meist
rechteckige Form der Hystereseschleife eines
6^^512 O'^Stl hochpermeablen Kernmaterials aus, um diesen
b) Halbbrijcken-Gegentaktwandler
als Schalter zu verwenden. Solange das Kern-
—o f material noch Spannungs-Zeitflache aufneh-
men kann, ist der Schalter geoffnet. Die recht-
eckige Hystereseschleife fixhrt zu einem abrup-
ten tJbergang in die Sattigung des Transduktor-
kerns und damit zu einem Zusammenbruch der
Spannung an seinen Wicklungen, womit der
Schalter geschlossen ist. Der Arbeitspunkt kann,
meist mit Hilfe einer Steuerwicklung, auf der
Bif-Schleife verschoben werden. Der Arbeits-
punkt bestimmt die Amphtude der Spannungs-
c) Vollbrijcken-Gegentaktwandler Zeitflache, die der Kern in jeder Schaltperiode
aufnehmen soil. Ein Beispiel wird in Bild 17-
14 b beim Eintakt-FluBwandler mit Nebenaus-
gang gezeigt. Solange der Transduktor TD
nicht geschaltet hat, flieBt ein nur sehr kleiner
Magnetisierungsstrom i^si- Nach dem Errei-
chen der Sattigung steigt der Strom durch die
Speicherdrossel L linear an, bis der primarsei-
tige Transistor T abschaltet. Nun wird der
Transduktor TD mit dem Strom 13 3 soweit zu-
Bild 17-15. Gegentaktwandler. riickgesetzt, daB er in der darauffolgenden Peri-
ode eine Spannungs-Zeitflache aufnehmen
der Transformator-Kern unsymmetrisch und kann, die ausreicht, um die Ausgangsspannung
bis zu seiner Sattigung ausgesteuert wird, ist Uj^2 konstant zu halten.
eine Symmetrieregelung erforderlich.
Andere Ausfuhrungen eines Gegentaktwand- 17.3.3 Sperrwandler
lers sind im Teilbild 17-15 b als Halbbrucken- Im Gegensatz zum DurchfluB-Wandler erfolgt
Gegentaktwandler und im Teilbild 17-15 c als beim Sperrwandler der EnergiefluB nur wah-
Vollbrucken-Gegentaktwandler dargestellt. Bei rend der Sperrphase des Schalttransistors.
beiden Schaltungen ist die KoUektorspannung Wenn der Drosselstrom immer /L > 0 ist, hat
nur so hoch wie die Eingangsspannung U^. Der dieser einen trapezformigen Verlauf. Deshalb
Halbbriicken-Gegentaktwandler wird oft mit bezeichnet man Wandler mit dieser Betriebsart
einem Spannungs-Verdoppler nach Delon kom- auch als Trapez-Sperrwandler. Seine Ausgangs-
biniert, indem dieser die Kondensatoren C^ und spannung ist unabhangig von der Last, wenn
C2 der Briicke mitbenutzt (Bild 17-6d). man den Spannungsabfall der realen Schaltung
In der Leitphase jeder der beiden Transistoren vernachlassigt. Der trapezformige Betrieb ist
liegt die Kondensatorspannung an der Primar- besonders fur Anwendungen mit geringen
wicklung. Schwankungen des Laststroms geeignet.
Bei dem Brucken-Gegentaktwandler sind die je- Bei dreieckformigem Strom verlauf wird die von
weils diagonal gegeniiberliegenden Transisto- der Induktivitat aufgenommene Energie W^ in
ren gemeinsam eingeschaltet, und die Eingangs- der Sperrphase vollstandig wieder abgegeben.
17.3 Getaktete Stromversorgungen 633

seite abgegebenen Energie W^. Die Eingangslei-


Die gespeicherte Energie ist W^= J U^Idt. stung ist P^ = P^= W^ f = W^ f if = Schalt-
0
Zusammen mit dem in der Formel (17-8) defi- frequenz) und damit wird die primare Indukti-
nierten Strom / L wird die gespeicherte Energie vitat
W^=UitlJ2'L. L p = C/Emin ^ein " / ^ ^Emax '

17.3.3.1 Hoch- und Tiefsetzsteller wobei d als Tastverhaltnis definiert ist. Begrenzt
man das maximale Tastverhaltnis, wie in der
Die Grundform des Sperrwandlers ist der inver- Praxis iibhch, auf J = 0,5, so vereinfacht sich
tierende Hoch- und Tiefsetzsteller, dessen Prin- der Ausdruck fur die primare Induktivitat wie
zip in Bild 17-16 a dargestellt ist. Diesen nennt folgt:
man auch Drossel-Inverswandler, Umkehrstel-
ler, Imers-Hochsetzsteller und im Englischen T/^U^I, (17-13)
Lp — U^jnin
flyback converter oder buck-boost converter. Er
liefert eine gegenuber der Eingangsspannung
Zur weiteren Betrachtung wird der Konden-
inverse Ausgangsspannung. Bei nicht unterbro-
sator C2 als sehr groB und verlustfrei angenom-
chenem Drosselstrom (/L > 0) und der Defini-
men, damit er in der Lage ist, den Sekundar-
tion fiir das Tastverhaltnis d = tgin/^ wird aus
strom 12 so zu integrieren, daB ein konstanter
^E • ^ein = ^ A ( ^ ~ ^ein) ^^^ damit dic Aus-
Ausgangsstrom I^ flieBt (Ladungserhaltung).
gangsspannung
Dann gilt
T T
|t/Al = t/E und
I^Al + t/p. •2dt = | / .dt = h
0
Aus diesen Beziehungen wird deuthch, daB, Bei einem maximalen Ausgangsstrom /A max
verglichen mit einem DurchfluBwandler, klei- und einem auf J = 0,5 begrenzten Tastverhaltnis
nere Anderungen des Tastverhaltnisses ausrei- muB der von L^ gespeiste Strom (2 null werden,
chen, um einen gleich hohen Hub der Eingangs- wenn die maximale Ausschaltzeit /^ausmin =T/2
spannung auszugleichen. erreicht wird. Damit ist
a) Hoch- und Tiefsetzteller T I T

S^ i^ '2,^0 0 2 2

1 'u. und mit

(i i ±
1 IRL
2
^A — ^ s h ~
''U^ i , 1
h
wird die Induktivitat L^ der Sekundarwicklung
' ^ s , ^ ^ "^ ' •

b) Hochsetzteller Ls=U^T/^I, (17-14)


L D +

+
+ 17.3.3.3 Hochsetzsteller
(=
] ,u. 'r s RL

Dieser hat die Bezeichnung Aufwdrtswandler,


Drossel-Aufwdrtswandler und im Englischen:
"• it/s,
i^ boost converter oder step up converter (Bild 17-
16 b). Dieser Wandler stockt seine Spannung
Bild 17-16. Sperrwandler.
auf die Eingangsspannung auf, so daB sie
groBer und im Grenzfall gleich dieser ist. Mit
17.3.3.2 Sperrwandler mit Transformator diesem Wandlertyp ist ein hoher Gesamtwir-
Wird ein Transformator verwendet (Bild 17-17), kungsgrad zu erreichen. Bei nicht unterbroche-
so ist, abgesehen von den Kupfer- und den nem Ausgangsstrom ( / L > 0 ) gelten folgende
Kernverlusten, die auf der Primarseite gespei- Zusammenhange fiir die Ausgangsspannung U^
cherte Energie W^ gleich der von der Sekundar- und die Einschaltzeit L:,:
634 17 Stromversorgung

a) Einfachausgang
1/A = ^P und L, = r 1-
1-d

17.3.4 Resonanzwandler
Ein Serien- oder ein Parallelschwingkreis ist
das gemeinsame Merkmal aller Resonanz-
wandler. Der wesentliche Vorteil des Resonanz-
b) Mehrfachausgang wandlers ist der nahezu sinusformige Verlauf
seiner Strome und Spannungen. Hohe Schalt-
verluste konnen bei konventionellen Wandlern
I 4 o ' im Leistungstransistor entstehen, well im
Strom-Maximum ausgeschaltet wird. Da bei
Resonanzwandlern im Nulldurchgang des
Stroms Oder der Spannung geschaltet wird, ent-
stehen keine Schaltverluste. Die Ausgangsspan-
nung regelt man durch eine Anderung der
^//J / 7-/ 7. Transformator-Sperrwandler. Schaltfrequenz, aber die Einschaltzeit oder die
Ausschaltzeit bleibt konstant. Die Schaltfre-
quenzen liegen oberhalb von /^ > 200 kHz und
a) Drosselstrom 7L2 , Kondensatorspannung 6/^4
und Steuersignale reichen derzeit bis zu /s = 10 MHz. Durch die
hohe Frequenz konnen der Transformator und
die Filterkondensatoren kleiner werden als bei
Sperr- oder DurchfluBwandlern.
Von praktischer Bedeutung sind die von Sperr-
oder DurchfluBwandlern abgeleiteten Quasi-
Resonanzwandler. Ein Quasi-Resonanzwandler
mit einem Serienresonanzkreis (L2, C4) und ei-
ner parallelen Ankopplung des Leistungstrans-
formators Tr ist in Bild 17-18 dargestellt. Damit
das Schalten stromlos geschieht, arbeitet der
Wandler mit einer Totzeit, wahrend der kein
Strom im Schwingkreis flieBt. Deshalb muB die
Schaltfrequenz /^ kleiner als die Resonanzfre-
quenz /^ des Schwingkreises sein. Das Zeitinter-
vall vom Beginn des Durchschaltens eines Tran-
sistors (TJ bis zu dem Zeitpunkt, an dem der
gegeniiberliegende Briickentransistor (T2) an-
fangt zu leiten, entspricht einer Halbperiode.
b) Stromlaufplan Eine Halbperiode kann man in fiinf Abschnitte
(A bis C) unterteilen. Zu Beginn des Abschnitts
AflieBtnur der noch abklingende Drosselstrom
SL)5 D, L, J^H. von Li uber die Dioden D^ und D2. Wenn der
Tri 1>I T Transistor T^ eingeschaltet wird, steigt der
Drosselstrom in L2 linear an. Die Diode D2
8 sperrt solange, bis der uber die Diode D^ flie-
Bende iibersetzte Drosselstrom in L2 groBer
wird als der Drosselstrom in L^ (Abschn.
17.4.2.3).
L, » L,
Nun wird zu Beginn des Abschnitts B der
Schwingkreiskondensator C4 geladen, und die
Bild 17-18. Quasi-Resonanzw andler. Spannung an ihm steigt sinusformig an. Die
17.3 Getaktete Stromversorgungen 635

Last beeinfluBt die Kurvenform nicht, da sich steht. Eine ausreichende Siebung ist erforder-
diese durch L^ wie eine Stromsenke verhalt. hch, um die gesetzhchen Bestimmungen zur
Nur die Stromamplitude wird von dem uber- Funk-Entstorung zu erfullen. Der zwischen den
setzten Ausgangsstrom iiberlagert, so daB diese beiden Eingangsleitungen zu filternde Strom ist
nicht mittensymmetrisch zur Nullinie ist. symmetrisch, also in beiden Leitungen entge-
Wenn der Drosselstrom null wird, beginnt der gengesetzt gleich groB. Man spricht von einem
Abschnitt C, und der Kondensatorstrom von Gegentakt-Storsignal (engl.: differential mode
C4 flieBt iiber die Diode D^ zuruck in die noise).
Quelle. Wahrend des Abschnitts C muB der Dieses Gegentakt-Storsignal wird wirksam mit
Transistor abgeschaltet werden, damit dies einem n-Filter unterdriickt, wie es in Bild 17-19
stromlos und damit frei von Schaltverlusten ge- dargestellt ist. Der Kondensator C^ muB bei der
schehen kann. Der Ausgangsstrom ist nur so Schaltfrequenz /^ und mindestens noch bei der
weit zu erhohen, daB ein RiickfluB von Energie dritten Oberwelle ( 3 / J eine niedrige Impedanz
noch moglich ist und der Transistor T^ stromlos Z aufweisen. Seine Kapazitat C sollte dennoch
bleibt. moghchst groB und sein Ersatz-Serienwider-
Der Abschnitt D beginnt, wenn der Strom in stand ESR bei f>f^ moglichst niedrig sein.
der Schwingkreisdrossel L2 wieder null gewor- AuBerdem muB seine Strombelastbarkeit aus-
den ist. Nun entladt sich der Kondensator C4 reichend hoch sein. Zur Bestimmung des effek-
hnear in die als Stromsenke wirkende Last. tiven Kondensatorstroms /cieff ist es ausrei-
Wenn der Kondensator C4 entladen ist, beginnt chend, den Kollektorstrom I^ als rechteck-
mit dem Abschnitt E die sogenannte Totzeit. Es formig anzunehmen. Mit der Definition des
flieBt nur noch sekundarer Drosselstrom, der,
aufgeteilt durch die beiden Halften der Trans-
formator-Sekundarwicklung, gleichzeitig durch ^Cleff = ^ C \ / « ^ ( l - ^ ) - (17-15)
die Dioden D^ und D2 flieBt.
Wenn der Transistor T2 eingeschaltet wird, be- Mit d = 0,5 erreicht der Kondensatorstrom sein
ginnt die zweite Halbperiode und damit der Ab- Maximum, und der Eingangsstrom I^ ent-
schnitt Aj. Zu Beginn des Abschnitts B^ wird spricht dem Kondensator-Effektivstrom /ceff
die Diode D^ gesperrt, und die Spannung am Da jeder pulsbreitengeregelte Konverier eine
Kondensator C4 beginnt mit negativer AmpH- hyperbelformige Eingangscharakteristik (Lei-
tude sinusformig anzusteigen. stungshyperbel) aufweist, ist die Eingangsimpe-
danz R^ negativ und es gilt: R^ = dUldt =
17.3.5 Eingangsfilter — Ui/P^. Die vom Konverier aus betrachtete
Mit dem Eingangsfilter soil der durch den Lei- Impedanz Z des Filters ist im Resonanzfall na-
stungstransistor T periodisch unterbrochene herungsweise \Z\=L/C • R, wobei R der Er-
Strom so gegldttet werden, daB ein Gleichstrom satz-Serienwiderstand ESR des Kondensators
mit nur noch kleinem Oberwellengehalt ent- Ci ist. Die negative Eingangsimpedanz R^ des

CM DM

^1—T-Tr-? T—*-*

I C4

=^c.
rrtepl
D
.J
TAESRI
0'
L' . J
}i
T,J—\ri
ED"'
V
-•—o 1

r Pulsbreiten-
modulator

Bild 17-19. Eingangsfilter.


636 17 Stromversorgung

Konverters ist mit der Impedanz Z des Filters a) Wie groB sind die Tastverhaltnisse d, wenn die
parallel geschaltet. Der resultierende Gesamt- Dioden- und die Kupferverluste sowie das dyna-
widerstand Rp stellt sich wie folgt dar: mische Verhalten des Reglers vernachlaBigt werden?
b) Wie groB muB die Induktivitat L der Speicherdros-
1 sel werden, wenn ein luckender Betrieb unerwiinscht
iVp — (17-16) ist?
c) Fur welchen Spitzenstrom i^^ muB die Drossel di-
L Ul^, mensioniert werden, wenn der Wert der tatsachHch
verwendeten Drossel L = 0,5 mH betragt?
und mufi positiv sein, damit das Eingangsfilter
nicht vom Konverter zum Schwingen angeregt
wird.
17.4 Regelungstechnik
In der Praxis dimensioniert man den Konden- 17.4.1 Fiihlerleitungen
sator Ci so, daB dieser den geforderten Effektiv-
strom aufnehmen kann. Eine Parallelschaltung Ausregelung der Leitungswiderstande
mehrerer Kondensatoren ergibt meistens nied- Mit Hilfe der Fiihlerleitungen (engl.: sense hues)
rigere Werte fiir den ESR, als dies mit nur einem wird die Summe der Zuleitungswiderstande
Kondensator bei vergleichbarem Kapazitats- i^ii, 1^12, i^Ai ^^^ ^A2 t)is zur Last R^ kompen-
wert zu erreichen ist. Der am Kondensator siert. An den Punkten, an denen die Fiihlerlei-
Ci verbleibende Wechselspannungsanteil wird tungen + S und — S angeschlossen sind, werden
durch den aus L^ und C3 gebildeten Span- Lastanderungen vollstandig ausgeregelt (Bild
nungsteiler verringert. Wegen der recht niedri- 17-20), und der statische Innenwiderstand R^
gen Grenzfrequenzen von Elektrolytkonden- wird demnach zu i^i = 0 Q.. Da die Spannungen
satoren und der Drossel L^ ist ein solches Filter UR2 = ^Ref == konstant sind, ist auch die Span-
nur bis zu einigen hundert Kiloherz wirksam. nung URI = konstant. Damit muB auch die
AuBerdem sind unsymmetrische Storspannun- Ausgangsspannung l/^ stabil sein. Die zwischen
gen auf den Eingangsleitungen uberlagert. Un- den Buchsen 1 und 2 anliegende Spannung U^
symmetrische Spannungen bezeichnet man
ist hoher: ^Jf = / A ( ^ A I + ^ A 2 ) + ^\- ^^ ^i^^^*
auch als Gleichtaktspannungen (engl.: common
praxisgerechten Schaltung muB man die Fiih-
mode voltage). Unsymmetrische Spannungen
lerleitungen unterbrechen konnen, ohne daB die
sind zwischen den Eingangsleitungen und einer Ausgangsspannung bis fast auf die Hohe der
Bezugsmasse (z. B. Gehause) wirksam und wer- Eingangsspannung hochlaufen kann. Um dies
den durch ein Filter, das aus der stromkompen- zu verhindern, schaltet man jeweils einen Wi-
sierten Drossel L2 und den sogenannten Y- derstand zwischen die Buchse 1 und 3 sowie
Kondensatoren C4 und C^ besteht, unter- zwischen 3 und 4.
driickt.
Ein Beispiel zur Entstehung von unsymmetri- Kompensation ohne Fiihlerleitungen
schen Storspannungen ist mit der Kapazitat CK Dieses Verfahren ist in Bild 17-21 dargestellt. Ist
angedeutet; CK ist die parasitare Kapazitat zwi- das Herausfiihren von Fiihlerleitungen aus der
schen dem Schalttransistor T und dem Kiihl- Stromversorgung nicht moglich, aber der Wert
korper, auf dem dieser isoliert montiert ist. des externen Leitungswiderstandes K^ bekannt.

Zur Ubung
U173-1: Ein elektronischer Verbraucher soil an ei-
nem 12-V-Kraftfahrzeug-Bordnetz betrieben werden,
dessen Spannung im Bereich 9,5 V ^ U^ ^ 14,4 V
schwankt. Es wird eine Versorgungsspannung von <
L/^ = 5 V benotigt, und der Strombedarf bewegt sich 4=c, %^
im Bereich 0,1 A ^ /^ ^ 1 A. Eine Potentialtrennung i^E R I • 1 O • 4
ist nicht erforderhch. Die FluBspannung der Diode
betragt Up = 0,9 V. Der Konverter soil als Tiefsetzstel- 4 RA2

ler mit einer Schaltfrequenz /^ = 50 kHz getaktet wer- Bild 17-20. Fiihlerleitungen; Ausregelung von Lei-
den. tungswiderstdnden.
17.4 Regelungstechnik 637

a) Schaltbild

PiiD; tIKi
1U, C3 ^3
-o 4—*•

Pulsdauer- l i - < ^ ^ T
modulator
Bild 17-21. Kompensation eines externen Leitungs- (PWM)

U^l

so kann dieser kompensiert werden. Dies be-


b) Regelungstechnisches Blockschaltbild
deutet, daB die Stromversorgung einen negati-
ven Ausgangswiderstand erhalt. Wegen des Regel- T,Tr L,C2 1 J,
PWM
negativen Innenwiderstandes muB besonders 'uZ?^verstarker C2 parallel HA
darauf geachtet werden, daB die Stromversor- d ^ D :?
gung nicht schwingt. Um eine Kompensation zu
'^J ^R

erreichen, muB man auf die Referenzspannung Bild 17-22. Regelung eines Flufiwandlers.
eine Spannung A U^^^ aufstocken, die sich pro-
portional zum Ausgangsstrom andert. Hierzu
kann der Widerstand R^2 genutzt werden, da wert dar und wird mit der Referenzspannung
dieser meist ungewollt in Form einer gedruck- [/Ref (Istwert) verglichen.
ten Leiterbahn vorhanden ist. Den Widerstand Getaktete Stromversorgungen benotigen in ih-
K,2 kann man wie folgt defmieren: rem Ausgangskreis ein Filter (C- oder LC), um
die Spannungs- und Strompulse auf sehr kleine
Ro Amplituden im Verhaltnis zur Ausgangsspan-
^T9 — R^
Ri^Ri nung zu dampfen. Wegen des Filters konnen
schnelle Vorgange am Ausgang einer Stromver-
Beispiel sorgung (Istwert) nur gedampft auftreten. Die
17.4-1: Ein vor dem Verbraucher liegender Leitungs- am Ausgang verbleibende Brummspannung be-
widerstand Rp^ = 0,08 Q soil kompensiert werden. Es deutet eine Abweichung vom Sollwert der Aus-
sind folgende Vorgaben zu berucksichtigen: t/^ = gangsspannung V^. Innerhalb einer Taktperi-
5,0 V, 4 = 12,5 A, t/R^f = 2,5V, R^ = R2 = R. Der ode liegt die Ausgangsspannung zuerst iiber
Widerstand R12 ist zu bestimmen. dem Sollwert und dann unter diesem. Eine Aus-
regelung ist prinzipiell nicht moglich, da sich
Losung:
das vom Pulsdauermodulator (PWM) abgege-
Der Zuleitungswiderstand R^ erzeugt einen externen bene Tastverhaltnis d innerhalb von einer Peri-
Spannungsabfall von AU =1Y. Um bei /^ = 12,5 A ode nicht andern kann. Das Tastverhaltnis d ist
eine um 1 V erhohte Ausgangsspannung zu erzeugen, proportional zur Ausgangsspannung des Regel-
muB
verstarkers. Die Ausgangsspannung ist mit der
R
-- 0,08 Q • 0,5 = 40 mQ sein. Brummspannung der Frequenz /^ iiberlagert.
2R Damit das Tastverhaltnis wahrend einer Peri-
Mit U^ = (t/^ef + 4 • ^12) - V - ' - ^^^^ ^A = 6,0 V ode konstant bleibt, soil der Regelverstarker bei
und damit die Spannung am Lastwiderstand t/^L = der Schaltfrequenz /^ nicht verstarken. An dem
5,0 V. aus den Widerstanden jR^ und ^ 2 gebildeten
Teller wird
17.4.2 Regelung eines FluBwandlers R2' Ri
Ui=U^
In Bild 17-22 a ist ein Eintakt-FluBwandler zu- R,^R2
sammen mit den zur Regelung erforderlichen
Die Parallelschaltung von R^ und R2 ist
Schaltungsteilen dargestellt. In Teilbild b ist das
regeltechnische Ersatzschaltbild wiedergege- R.
R' =
ben. Die Ausgangsspannung L/^ stellt den Soll- i^l+i^2
638 17 Stromversorgung

Damit wird die Spannung UR = (UR^f —U^) = Die Einzel-Wirkungsgrade sind:


R. 1 Speicherdrossel: 50 W: (50W + 3W) =0,943
H ^1 mit p=j(o ergibt sich fur Gleichrichter: 53 W: (53W + 6W) =0,898
R' pC^R'J Transformator: 59 W: (59W + 1,5W) =0,955
WechselgroBen (U^ef = konst, U^^^« = 0) U^ = Schalttransistor: 60,5 W: (60,5 W +1,2 W) = 0,981
R. 1 Ansteuerung: 61,7 W: (61,7 W + 1,6 W) = 0,945.
•U^
Ri-]-R^\R'
i+ pC2R'^
und damit die Ohne Eingangsfilter betragt der Wirkungsgrad r] =
0,789. Bei der Eingangsspannung C/^ = 24 V betragt
Ausgangsspannung des Regelverstarkers der Eingangsstrom etwa I^ = IfiA A und die Kupfer-
verluste des Filters P = 0,7 W Der Wirkungsgrad des
Eingangsfilters ist 63,3 W/(63,3 W + 0,7 W) = 0,898.
Der Gesamtwirkungsgrad betragt damit rj = 0,781.

mit dem P-Anteil R^/Ri und dem P/-Knick im 17.5.1 Entwarmung (Warmeiibertragung)
Bode-Diagramm bei R^/R^ = l/cOiC2Pi, wo- Die Zuverlassigkeit und damit auch die Lebens-
bei C0i = I/C2R3 ist. dauer einer elektronischen Schaltung wird we-
Der Eckpunkt fiir coj muB bei kleineren Fre- sentlich durch die Kristalltemperatur (junction
quenzen liegen als die Resonanzfrequenz des temperature 5j) der darin verwendeten Halb-
Ausgangsfilters, damit die Phase des Regelver- leiterbauelemente bestimmt. Man unterscheidet
starkers bei 0° ist, wenn die Phase des Aus- drei Arten von Warmeiibertragung: die Wdrme-
gangsfilters 180° erreicht. leitung, die Konvektion und die Wdrmestrah-
lung. Die Strahlung hat wegen der anzustreben-
den kleinen Temperaturgefalle, der niedrigen
17.5 Wirkungsgrad Emissionsgrade 8 und der sie iiberlagernden
Konvektion auBer in Systemen fiir die Raum-
Der Wirkungsgrad ist definiert als Y\ = VJP^,
fahrt keine groBe Bedeutung. Fiir Sihcium-
mit P^ als abgegebener und P^ als aufgenomme-
Transistoren werden von den Herstellern zulas-
ner Leistung. Der Wirkungsgrad einer pulsbrei-
sige Werte fiir ^j angegeben, die zwischen
tengeregelten Stromversorgung ist wesentlich
hoher als derjenige einer Stromversorgung, die 150°C<dj<210X
mit einem Verlustregler aufgebaut ist. AuBer-
dem ist der Wirkungsgrad einer pulsbreitenge- liegen. In zuverlassigen Schaltungen mit Sih-
regelten Stromversorgung nahezu unabhangig cium-Halbleitern sollten Kristalltemperaturen
von den Schwankungen ihrer Eingangsspan- von ^j < 110°C nicht uberschritten werden. Der
nung. thermische Widerstand ist wie folgt definiert:

Beispiel Rih=^^
17.5-1: Wie hoch ist der Wirkungsgrad eines Eintakt-
wobei AS die Differenztemperatur in Kelvin
FluBwandlers mit Transformator, wie in Bild 17-13 a
dargestellt? und P die Leistung in Watt ist. Die Halbleiter-
hersteller geben meistens folgende Warmewi-
Die Daten des Konverters lauten: t/Emin = ^4 V, U^ =
5 V, /^ = 10 A. Der Kupferwiderstand der Speicher- derstande an:
drossel ist R = 0,03 Q. Die FluBspannung der Schott- Zwischen dem Kristall (J) und dem Gehause
ky-Gleichrichterdioden ist ?7p = 0,6V. Die Verlust- ( G o d e r C ) : K.hjc-
leistung des Transformators betragt Py = \,5y^. Die Zwischen dem Kristall (J) und der Umgebung
Schalt- und Sattigungsverluste des Transistors sind (U Oder A): R,^,^,
P = 1,2 W. Der Leistungsbedarf fur die Ansteuerung
manchmal auch den R^^ zwischen Gehause
ist Pst = l'6W und der Kupferwiderstand des Ein-
gangsfilters betragt i? = 0,1 Q. und Kiihlkorper: i^thcs-
Die gesamten Warmewiderstande eines mon-
Losung: tierten Halbleiters zwischen dem Kristall und
Den Gesamtwirkungsgrad kann man durch die Mul- der Umgebung eines einzelnen Transistors
tiplikation der Einzelwirkungsgrade oder iiber das sind in Bild 17-23 wiedergegeben. Der ther-
Summieren der Ausgangs- und Verlustleistungen er- mische Obergangswiderstand zwischen der me-
mitteln. taUischen Transistor-Auflageflache und einem
17.6 Gesetzliche Vorschriften und Normen 639

Transistor- werden. Bin vorhandener Kiihlkorper ist mit i?thKA


Gehause = 35 K/W angegeben, und die dazu passende War-
* RthJcD =K meleitfolie hat einen Warmewiderstand von R^^^ =
3 K/W. Der Transistor mit der nach JEDEC genormten
Bauform TO 220AB hat folgende Warmewider-
stande:
© ^thJA = 75 K/W, i^thjc = 3 K/W Da keine Hersteller-
angaben vorHegen, wird als Warmeiibergangswider-
stand zwischen dem Transistorgehause und dem
Kiihlkorper ein i^thCK = 0'^ K/W angenommen. Es
ergibt sich:
H ]J KiJhl-
korper R.. R.y ] + ^ t h C K + ^ t h l + ^tV ^41,7 K/W.
Bild 17-23. Wdrmewiderstdnde eines montierten 41,7 K/W-1,267 W = 52,82 K. Mit den oben ge-
Halbleiters. nannten Werten betragt die Verlustleistung Py =
1,267 Watt. Die Kristalltemperatur betragt nun ^j =
108 °C, womit diese deuthch unter der fiir Leistungs-
Kiihlkorper hangt stark von den Oberflachen- MosFET zulassigen Grenztemperatur von ^j < 150°C
rauhigkeiten und dem AnpreBdruck und damit liegt. Der Warmewiderstand RQ^ beschreibt den
von dem Drehmoment ab, mit dem die verwen- Warmeubergang der nicht auf dem Kiihlkorper auf-
deten Schrauben festgedreht worden sind. Zum Hegenden Transistoroberflache. Da er groBer sein
Ausgleich der entstehenden Hohlraume und da- muB als der von den Halbleiter-Herstellern spezifi-
mit zur Verbesserung der thermischen Leitfa- zierte Widerstand R^^ (= Rjj^), wird er hier vernach-
higkeit wurde Warmeleitpaste verwendet. Diese lassigt. Die Warmekapazitaten konnen unberiick-
sichtigt bleiben, da vom Halbleitersubstrat eine
besteht aus mit Ol gebundenen Metalloxiden.
konstante Verlustleistung erzeugt wird.
Leider tritt das in der Paste enthaltene Ol aus,
und als Folge kann der sich mit der Zeit daran
festsetzende Staub die Konvektion behindern. 17.6 Gesetzliche Vorschriften
Zur guten Warmeleitung bei gleichzeitiger Iso-
lation ist die Verwendung von Warmeleitfolien und Normen
empfehlenswert. Diese sollen mit Glasfaser ver- DIN VDE-Normen sind rechtsverbindliche Be-
starkt sein und als warmeleitendes Material stimmungen. Innerhalb der Europaischen Ge-
Aluminiumoxid oder noch besser Bornitrid ent- meinschaft gelten Europa-Normen (EN). Durch
halten. Um eine VergroBerung des Warme- die Harmonisierung einer D I N VDE-Norm
widerstandes durch alterungsbedingte Reduzie- wird diese zu einer EN. Die deutsche Uberset-
rung der Dicke (KaltfluB) von Isolierfolien zung einer EN kann aber auch als D I N VDE-
auszugleichen, sollte man fiir die Montage von Norm erscheinen. Einzelheiten zur Angleichung
Halbleiterbauelementen federnde Befestigungs- von Rechtsvorschriften der Mitgliedsstaaten
teile verwenden. konnen durch eine EG-Richtlinie geregelt sein.
lEC-Publikationen (lEC: International Elec-
Beispiel trotechnical Commission) brauchen nicht bin-
17.5-2: Ohne die Verwendung eines Kiihlkorpers dend zu sein.
wurde an einem Leistungstransistor eine Kristalltem-
peratur 5j = 160°C ermittelt. Die Kristalltemperatur 17.6.1 Produkthaftung
darf aber ^j = 150°C nicht iiberschreiten. Die den
Transistor umgebende Luft hat eine maximale Tem- Haftungsgrundlage fiir Schaden, die durch ein
peratur von ^^ = 55°C. fehlerhaftes Produkt (Folgeschaden) entstehen,
ist der Paragraph 823 des Burgerlichen Gesetz-
Frage: buches. Zusatzlich zu § 823 RGB gilt ab 1990
Ist der Warmewiderstand eines vorhandenen Kiihl- das Produkthaftungsgesetz (ProdHaftG).
korpers ausreichend? Basierend auf § 823 BGB haftet der Produzent
in unbegrenzter Hohe {verschuldensabhdngige
Losung: Haftung) fiir Personen- und Sachschaden, die
Um die Kristalltemperatur zu senken, soil der Transi- durch ein fehlerhaftes Produkt entstehen. Ein
stor elektrisch isoliert auf einen Kuhlkorper montiert Verschuldensvorwurf kann auch auf einer feh-
640 17 Stromversorgung

lerhaften Anleitung (Instruktion) beruhen. 1st kantige Konturen, an denen man sich verletzen
ein Schaden eingetreten, der auf einen Produkt- kann, diirfen nicht vorhanden sein.
fehler zuriickzufuhren ist und wird ein Ver-
schulden des Herstellers angenommen, so mu6
dieser nachweisen, daB ihn kein Verschulden 17.6.2.1 Elektrische Sicherheit
trifft und er nicht fahrlassig gehandelt hat {Be-
weislastumkehr seit 1968). Diesen Nachweis Um gefahrliche Korperstrome auszuschlieBen,
muB der Hersteller bis zu 30 Jahren nach In- sind SchutzmaBnahmen erforderUch. Man un-
Verkehr-Bringen seines Produktes erbringen terscheidet MaBnahmen zum Schutz gegen di-
konnen, weshalb die Dokumentation und Ar- rektes Beruhren und bei indirektem Beriihren.
chivierung von Konstruktions- bzw. Entwick- Unter direktem Beruhren wird der direkte Kon-
lungsunterlagen sowie der Fertigungsdaten takt mit einem elektrischen Teil verstanden.
notwendig sind. Um fehlerhafte Fertigungslose Von einem indirekten Beruhren wird gespro-
zuriickrufen zu konnen, ist eine Produktbeob- chen, wenn ein Teil beriihrt wird, das Spannung
achtung (Observation) notwendig. aufweist, obwohl es seiner Funktion nach nicht
Mit den Bestimmungen des Produkthaftungs- dazu bestimmt ist. Als Schutz gegen direktes
gesetzes sollen im Schadensfall die Anspriiche Beriihren bezeichnet man alle MaBnahmen zur
von privaten Verbrauchern gegenuber dem Vermeidung von Gefahren, die sich aus einer
Hersteller des fehlerhaften Produktes einfacher Beruhrung mit aktiven Teilen ergeben. Als
als bisher durchgesetzt werden konnen. Das Schutz bei indirektem Beriihren wird der
1989 vom Deutschen Bundestag verabschiedete Schutz vor Gefahren bezeichnet, die sich aus
Produkthaftungsgesetz basiert auf einer Pro- Fehlzustanden ergeben konnen. Deshalb wird
dukthaftungs-Richtlinie der Europaischen Ge- bei Teilen, die beriihrbar sind, ein Beriihrungs-
meinschaft von 1985. Es soil fur Personenscha- stromkreis dadurch unterbunden, daB der
den und Folgeschaden, die durch das fehler- Ubertritt einer Spannung oder eines Stromes
hafte Produkt an „Sachen gewohnlich fiir den verhindert oder auf eine zeitUch unkritische
privaten Ge- und Verbrauch" entstehen, gehaf- Dauer begrenzt wird.
tet werden. Die Haftungspflicht ist verschul- Zum Schutz bei indirektem Beriihren wird das
densunabhangig und erlischt nach 10 Jahren. Prinzip Verbinden oder Trennen angewendet.
Fiir Personenschaden gilt eine Haftungsober- Gerate der Schutzklasse I sind mit einem
grenze von 82 Millionen Euro. Fiir Sachschaden Schutzleiter verbunden. Im Fehlerfall ist die ak-
ist keine Grenze festgelegt; der Geschadigte muB tive Leitung mit dem Schutzleiter verbunden
jedoch bis zu 575 Euro selbst tragen. Immateriel- und eine Gerateschutz-Sicherung oder eine Lei-
le Schaden werden nicht beriicksichtigt (z.B. tungsschutz-Sicherung lost aus. Das Prinzip
Schmerzensgeld). Hersteller im Sinne des Pro- Trennen wird bei der Schutzklasse 11 angewen-
dukthaftungsgesetzes ist auch derjenige, der sich det. Der Schutz vor einem indirekten Beriihren
durch das Anbringen seines Namens als Herstel- wird hier durch eine verstdrkte oder doppelte
ler ausgibt Oder der Importeur, der das Produkt Isolation erreicht. Zu beachten ist, daB beim
in die Europaische Gemeinschaft einjRihrt. Kann Auftreten eines Fehlers im Isolationssystem
der Hersteller des Produktes nicht festgestellt mindestens eine Basisisolierung erhalten blei-
werden, so gilt der Lieferant als dessen Her- ben muB.
steller.
Eine wichtige Bestimmung ist DIN VDE 0100
(VDE 0100 Teil 410): 1997-01 (lEC 60364-4-41:
17.6.2 Sicherheit 1992, mod.), „Errichten von Starkstromanlagen
Ein Gerat muB so konstruiert und gefertigt sein, mit Nennspannungen bis zu 1000 V. SchutzmaB-
daB bei dessen bestimmungsgemaBem Ge- nahmen; Schutz gegen elektrischen Schlag".
brauch keine Schaden an Personen oder Sachen SchutzmaBnahmen gegen direktes und indirek-
auftreten konnen. Von einem elektrischen Gerat tes Beruhren sind immer erforderlich. Diese Be-
darf auch im Fehlerfall keine potentielle Gefahr stimmung umfaBt, soweit sich diese MaBnah-
ausgehen. Die Temperaturen an den Oberfla- men nicht auf die Elektroinstallation von
chen von Geraten miissen so niedrig sein, daB Gebauden beziehen, im wesentlichen folgende
man sich daran nicht verbrennen kann. Scharf- Punkte:
17.6 Gesetzliche Vorschriften und Normen 641

Schutz sowohl gegen direktes mat). AuBerdem verweist die DIN VDE 0100
als auch bei indirektem Beriihren Teil 410 auf den „Schutz durch Verwendung
Hiernach kann ein Schutz gegen gefahrliche von Betriebsmitteln der Schutzklasse II nach
Korperstrome durch die Verwendung einer DIN 57106, Teill/VDE 0106, Teil 1, oder
„Schutzkleinspannung" oder einer „Funktions- gleichwertiger Isolierung".
kleinspannung" gewahrleistet werden. Fur Gerate, die mit Steckvorrichtungen am
230-V-Netz betrieben werden, durfen nach
Schutzkieinspannungen diirfen 50 V Wech- lEC 60950 die Ableitstrome die nachfolgend ge-
selspannung oder 120 V Gleichspannung nannten Werte nicht iiberschreiten:
nicht uberschreiten. Entladeenergien durfen
nicht groBer als 350 mJ sein. Schutzklasse I: I < 0,25 mA,
Schutzklasse 11: I < 3,5 mA.

Schutz gegen direktes Beriihren Fiir hohere Ableitstrome gelten besondere Be-
dingungen. Die wichtigsten Regeln zur Dimen-
Ein vollstandiger Schutz gegen direktes Beriih- sionierung von elektrischen Isolationen nach
ren ist gegeben, wenn alle aktiven Telle isoliert, lEC-Publikationen sind in der Tabelle 17-4 zu-
abgedeckt oder umhiillt sind. Abdeckungen sammengestellt.
und Umhullungen konnen ausreichend sein,
wenn diese mindestens der Schutzart IP2x nach
DIN 40050 entsprechen. Teilentladung, Glimm-Aussetzspannung
Eine Teilentladung ist eine durch Storstellen
Schutz bei indirektem Beriihren (z. B. Luftblasen und Verunreinigungen) im Iso-
Die unterschiedlichen Formen des Schutzes lations-System verursachte abrupte Ladungs-
durch Abschaltung oder Meldung sind Be- verschiebung. Diese wird oft durch kleine
standteil der Gebaudeinstallation (z.B. durch GHmmentladungen innerhalb des Dielektri-
einen Fehlerstrom-Schutzschalter = Fl-Schal- kums ausgelost. Beim Anlegen einer Wechsel-
ter und Leitungsschutz-Automat = LS-Auto- spannung, beispielsweise an die Flatten eines

Tabelle 17-4. Spannungseinteilung und Isolationsforderungen nach lEC.


Spannungseinteilung Anforderung Testspannung Luft- oder Kriechstrecke
nach IEC-Publikation-449 an die nach lEC 950') nach lEC 950')
Isolation nach d/mm
lEC 364-4-41
a max. eff Betriebsspannung fiir Isolation Luftstrecke Kriechstrecke

0 Wechselsp. Gleichsp. Basis Doppelt


U/Y U/Y (B) (D) B D B D

1 <25 <60 keine keine keine keine keine

2 25 < 1/ < 50 60<[;<120 Basisisolation 1000 1700 1 2 1,5 3


oder
aquivalent ^) ^)

3 >50 >120 doppelte 1500 3000 2 4 2,5 5


Isolation oder
aquivalent^)

^) lEC 950 60 950 fordert fur diese Betriebsspannung eine doppelte oder dem entsprechende Isolation. Dem ent-
sprechend heiBt beispielsweise: ^).
^) Basisisolation zusammen mit einem Schutzleiter.
^) Werte gelten fiir Innenraume wie Biiros, Wohnraume oder Werkstatten (Verschmutzungsgrad 2) und
Isoliermaterial wie beispielsweise glasfaserverstarktes Leiterplattenmaterial (FR4).
642 17 Stromversorgung

Kondensators, flieBen dielektrische Verschiebe- werden. Sie treten als eine leitungsgebundene
strome, unter deren EinfluB es zu meBbaren Funkstorspannung gegen eine Bezugsmasse
Teilentladungen kommt. Zur Messung wird („Erde") und als abgestrahltes elektromagne-
eine am Priifling angelegte Wechselspannung tisches Feld auf. Einerseits diirfen die von einem
solange erhoht, bis die geforderte Priifspannung elektronischen Gerat abgegebenen Storungen
erreicht ist oder bis Teilentladungen auftreten. keine Funkdienste beeintrachtigen und ande-
Anschliefiend wird die MeBspannung wieder rerseits muB ein Gerat gegenuber Storungen
reduziert, bis die Glimmentladung aussetzt (Hy- von auBen geniigend unempfmdlich (storfest)
sterese-Effekt). Der so ermittelte MeBwert wird sein. Beispielsweise darf die elektronische Ben-
auch als Glimm-Aussetzspannung bezeichnet. zineinspritzung eines Autos nicht selbstandig
Der bei der Entladung auftretende Storstrom „Vollgas" geben, und der Herzschrittmacher des
ist hochfrequent und hat ein breites Frequenz- Fahrers darf nicht „stillstehen", wenn sich das
spektrum. Er kann daher mit Funk-Storspan- Auto gerade in der Nahe eines Rundfunk-
nungs-MeBempfangern (in dBjiV) oder Spe- senders befmdet.
zialempfangern in pC (pico-Coulomb) gemes- Um die geforderten Grenzwerte einhalten zu
sen werden. Ublich ist die Messung der Glimm- konnen, ist fur pulsbreitengeregelte Stromver-
Aussetzspannung, also des Wertes der MeB- sorgungen ein sehr sorgfaltig gestaltetes Leiter-
spannung, bei dem die Teilentladung nachlaBt. platten-Layout und ein hoher Aufwand an Filtem
Die Teilentladungs-Messung ermoglicht eine erforderlich. Zusatzlich wird oft ein geschirmtes
zerstorungsfreie Hochspannungsprufung. Bis Gehause benotigt, dessen Material gegeniiber
jetzt war diese Art der Priifung nur in der Hoch- den magnetischen Hochfrequenz Feldem eine
spannungs-Technik beispielsweise bei GroB- gute Dampfung aufweist (z.B. Aluminium).
transformatoren und Hochspannungs-MeB- Die Basis des geltenden Rechts ist die „Richtlinie
wandlern ublich. Neuerdings ist sie auch fur 89/336/EWG des Rates vom 3. Mai 1989 zur
Optokoppler vorgeschrieben (DIN VDE 0884). Angleichung der Rechtsvorschriften der Mit-
In der Industrie wendet man dieses Verfahren gliedsstaaten uber die elektromagnetische Ver-
auch bei Transformatoren von Stromversor-
traglichkeit". Sie wird auch EMV-Richtlinie ge-
gungen (50 Hz-Technik und Schaltnetzteile) an.
nannt. Die Anwendung dieser Richtlinie ist seit
Die Teilentladungs-Messung ermoglicht das
dem 1. Januar 1992 in alien Staaten des Europai-
Erkennen von Storstellen im Isolationssystem
schen Wirtschaflsraums (EWR) verbindlich. Das
und ist normalerweise kein Ersatz fur die Prii-
EMV-Gesetz (Gesetz iiber die elektromagneti-
fung der Durchschlagsfestigkeit, ermoglicht
sche Vertraglichkeit von Geraten -EMVG-) ist
aber eine reduzierte Priifspannung.
die deutsche Umsetzung der EMV-Richtung in
nationales Recht. Mit dem Einhalten der relevan-
17.6.2.2 Brandschutz ten Europaischen Normen (EN) unterstellt der
Das Entstehen von Branden ist unbedingt zu Gesetzgeber eine „Konformitatsvermutung".
vermeiden. Deshalb miissen die verwendeten Grenzwerte sind in EN festgelegt. Sind keine
MateriaHen so beschaffen sein, daB diese un- Produktnormen oder Produktfamiliennormen
brennbar oder selbstverloschend sind. Eine vorhanden, dann sind Fachgrundnormen (engl.
Priifung auf passive Entflammbarkeit erfolgt Generic Standards) anzuwenden. Die aktuellen
nach lEC 695-2-2. Die US-amerikanischen Vor- Ausgaben von EMV-Normen, die fur eine
schriften verlangen die ausschlieBliche Verwen- EU-Konformitat relevant sind, deren Anderun-
dung von „UL-gelisteten MateriaHen" (UL: gen und das Datum der Beendigung der Kon-
Underwriters Laboratory); zum Beispiel GieB- formitatsvermutung, werden im Amtsblatt der
harze und Bauelemente-Umhiillungen miissen Europaischen Gemeinschaften und darauf fol-
die UL 94-Klasse V-0 erfiillen. gend im Amtsblatt der Regulierungsbehorde fiir
Post und Telekommunikation (RegTP) verof-
fentlicht. Als Beispiel sei das Amtsblatt C 359/2
17.6.3 Elektromagnetische Vertraglichkeit vom 14.12.2000 genannt. Die Amtsblatter
(EMV) der EU kann man bis zu 40 Tagen nach
Jedes elektronische Gerat kann Funkstorungen deren Erscheinen unter der Intemet-Adresse
verursachen oder von diesen selbst gestort http://europa.eu.int/eur-lex herunterladen. Die
17.6 Gesetzliche Vorschriften und Normen 643

deutschen Versionen der EN werden als DIN EN Teil 1: Storaussendung - Produktfamiliennorm


herausgegeben und sind iiber den VDE VER- (lEC-CISPR 14:1993 +A1:1996 +Corrigendum: 1997
+A2:1998); Deutsche Fassung EN 55014:1993
LAG, Berlin (E-mail: vertrieb@vde-verlag.de) + Corrigendum: 1997 +A1:1997 + A2:1999
zu beziehen.
DIN EN 55 015 (VDE 0875 Teil 15-1):2000-01
Nur solche Gerate, die alien fur sie relevanten Grenzwerte und MeBverfahren fur Funkstorungen von
Europaischen Richtlinien und EN entsprechen, elektrischen Beleuchtungseinrichtungen und ahnlichen
durfen im EWR „in Verkehr gebracht" werden. Elektrogeraten; (lEC-CISPR 15:1996 +A1:1997
Der Hersteller oder Importeur muB eine EG- +A2:1998); Deutsche Fassung EN 55015:1996
Konformitatserklarung ausstellen und dieses +Corrigendum:1997 +A1:1997 +A2:1999
durch das Anbringen einer CE-Kennzeichnung DIN EN 61 000-4-4 (VDE 0847 Teil 4-4): 1996-03 *
auf dem Gerat dokumentieren. Priifung der Storfestigkeit gegen schnelle transiente
elektrische Storgrofien/Burst; (lEC 61 000-4-4:1995);
Deutsche Fassung EN 61 000-4-4:1995
Normen iiber Niederfrequenzstorungen
DIN EN 61 000-4-5 (VDE 0847 Teil 4-5): 1996-09 *
DIN EN 61 000-3-2 (VDE 0838 Tell 2): 1996-03 Storfestigkeit gegen StoBspannungen; (lEC 61 000-4-5:
Elektromagnetische Vertraglichkeit (EMV) 1995); Deutsche Fassung EN 61 000-4-5
Teil 3: Grenzwerte
Hauptabschnitt 2: Grenzwerte fur Oberschwingungs- DIN EN 61 000-4-6 (VDE 0847 Teil 4-6): 1997-04 *
strome Storfestigkeit gegen leitungsgefiihrte StorgroBen,
(Gerate-Eingangsstrom < 16 A je Leiter); induziert durch hochfrequente Felder; (lEC 61 000-4-6:
(lEC 61 000-3-2:1995): 1996); Deutsche Fassung EN 61 000-4-6:1996
Deutsche Fassung EN 61 000-3-2:1995 + A12:1995) DIN EN 61 000-4-8 (VDE 0847 Teil 4-8): 1994-05 *
Priifung der Storfestigkeit gegen Magnetfelder mit
DIN EN 61 000-3-3 (VDE 0838 Teil 3):1996-03 energietechnischen Frequenzen; (lEC 61 000-4-8:1993);
Grenzwerte fur Spannungsschwankungen und Flicker Deutsche Fassung EN 61 000-4-8:1993
in Niederspannungsnetzen fur Gerate mit einem
Eingangsstrom < 16A; (lEC 61 000-3-3:1994); DIN EN 61 000-4-11 (VDE 0847 Teil 4-11): 1995-04 *
Deutsche Fassung EN 61 000-3-3:1995 Priifung der Storfestigkeit gegen Spannungseinbriiche,
Kurzzeitunterbrechungen und Spannungsschwankungen;
(lEC 61 000-4-11:1994)
Fachgrundnormen iiber hochfrequente Storaussendun- Deutsche Fassung EN 61 000-4-11:1994
gen DIN EN 61 000-4-16 (VDE 0847 Teil 4-16): 1998-08
DIN EN 50 081-1 (VDE 0839 Teil 81-l):1993-03 Priifung der Storfestigkeit gegen leitungsgefiihrte
Elektromagnetische Vertraglichkeit (EMV) asymmetrische StorgroBen im Frequenzbereich von
Fachgrundnorm Storaussendung 0 Hz bis 150 kHz; (lEC 61 000-4-16:1998-01);
Teil 1: Wohnbereich, Geschafts- und Gewerbebereiche Deutsche Fassung EN 61 000-4-16:1998-01
sowie Kleinbetriebe; Deutsche Fassung EN 50 081-1: DIN EN 55 022 (VDE 0878 Teil 22): 1999-05
1992 Einrichtungen der Informationstechnik -
DIN EN 50 081-2 (VDE 0839 Teil 81-2): 1994-03 Funkstoreigenschaften - Grenzwerte und MeBverfah-
Teil 2: Industriebereich; ren; (lEC-CISPR 22:1997, modifiziert);
Deutsche Fassung EN 50 081-2:1993 Deutsche Fassung EN 55 022:1998

Produkt und Produktfamiliennormen iiber hochfrequen-


te Storaussendungen Fachgrundnormen iiber Storfestigkeit
DIN EN 55 011 (VDE 0875 Teil 11):2000-05
Industrielle, wissenschaftliche und medizinische DIN EN 50 082-1 (VDE 0839 Teil 82-l):1997-ll
Hochftequenzgerate (ISM-Gerate) - Funkstorungen - Elektromagnetische Vertraglichkeit (EMV) -
Grenzwerte und MeBverfahren Fachgrundnorm Storfestigkeit;
(lEC/CISPR 11:1997 + Al: 1999, modifiziert); Teil 1: Wohnbereich, Geschafts- und Gewerbebereiche
Deutsche Fassung EN 55011:1998 + Al:1999 sowie Kleinbetriebe; Deutsche Fassung EN 50 082-1:
DIN EN 55 013 (VDE 0872 Teil 13):2000-01 1997
Grenzwerte und MeBverfahren fiir die DIN EN 50 082-2 (VDE 0839 Teil 82-2): 1996-02
Funkstoreigenschaften von Rundfiinkempfangem und Teil 2: Industriebereich;
verwandten Geraten der Unterhaltungselektronik; Deutsche Fassung EN 50 082-2:1995
Deutsche Fassung EN 55 013:1990 + A12:1994 DIN EN 61 000-6-2 (VDE 0839 Teil 6-2):2000-03
+Corrigendum:1997 + A13:1996 + A14:1998 Elektromagnetische Vertraglichkeit (EMV) -
DIN EN 55 014-1 (VDE 0875 Teil 14-1): 1999-10 Teil 6-2: Fachgrundnorm Storfestigkeit -
Elektromagnetische Vertraglichkeit - Industriebereich; Deutsche Fassung EN 61000-6-2:
Anforderungen an Haushaltgerate, Elektrowerkzeuge 1999;
und ahnliche Elektrogerate - Anmerkung: ersetzt EN 50 082-2:1995 ab 01.04.2002
644 17 Stromversorgung

Produkt und Produktfamiliennormen iiber Storfestigkeit „Radio Frequency Devices". Hauptsachlich fiir
DIN EN 55 014-2 (VDE 0875 Teil 14-2):1997-10 militarische Gerate werden die militarischen
Elektromagnetische Vertraglichkeit - Standards MIL-STD 461, 462 B, 463 (engl.:
Anforderungen an Haushaltgerate, Elektrowerkzeuge MILitary STandarD) oder die deutschen VG-
und ahnliche Elektrogerate - Teil 2: Storfestigkeit
EMV-Produktfamiliennorm; (lEC-CISPR 14-2:1997); Normen (VG: Verteidigungs-Gerat) VG 95 370
Deutsche Fassung EN 55 014-2:1997 bis VG 95 379 angewendet.
DIN EN 55 020 (VDE 0872 Teil 20): 1995-05
Storfestigkeit von Rundfunkempfangem und
verwandten Geraten der Unterhaltungselektronik;
Deutsche Fassung EN 55 020:1994-12 17.6.4 Netzriickwirkungen;
DIN EN 55024 (VDE 0878 Teil 24): 1999-05
Einrichtungen der Informationstechnik - Netzoberschwingungen
Storfestigkeitseigenschaften -
Grenzwerte und Priifs^erfahren (lEC-CISPR 24:1997, Aus der Sicht der Energieversorgungs-Untemeh-
modifiziert); Deutsche Fassung EN 55 024:1998 men ist die Entnahme von -Strom mit nicht
Grundnormen iiber Storfestigkeit sinusfi)rmigem Verlauf genauso - unerwiinscht
Solche Grundnormen, die Forderungen aus wie Verbraucher mit Anteilen von Blindleistung.
Fachgrundnormen beinhalten sind mit * gekennzeichnet Abgesehen von Phasen-Anschnitt-Steuerungen
DIN EN 61 000-4-1 (VDE 0847 Teil 4-1): 1995-09 (z. B. Dimmer fiir Gliihlampen) treten Verzerrun-
Elektromagnetische Vertraglichkeit (EMV) gen des Wechselstroms durch Gleichrichter-
Teil 4: Priif- und Mefiverfahren - Hauptabschnitt 1: Schaltungen auf, wenn mit diesen ohne vorge-
Ubersicht iiber Storfestigkeitspriifverfahren schaltete Drossel ein Kondensator oder eine Bat-
EMV-Grundnorm; (lEC 61000-4-1:1992);
Deutsche Fassung EN 61 000-5-1:1994 terie aufgeladen wird. In modemen Stromversor-
DIN EN 61 000-4-2 (VDE 0847 Teil 4-2): 1996-03 * gungen findet man aktive Kompensations-Schal-
Storfestigkeit gegen die Entladung statischer tungen anstelle von Drosseln. Fiir Haushaltsge-
Elektrizitat; (lEC 61 000-4-2:1995); rate werden die zulassigen Oberwellen des ent-
Deutsche Fassung EN 61 000-4-2:1995 nommenen Stroms durch die EN 61 000-3-2:
DIN EN 61 000-4-3 (VDE 0847 Teil 4-3): 1997-08 * 1995 einschlieBlich deren Anderungen Al, A2
Priifiing der Storfestigkeit gegen hochfrequente und A14geregelt.
Elektrizitat elektromagnetische Felder;
(lEC 61 000-4-3:1995, modifiziert); Deutsche Fassung Spannungsschwankungen und Flicker entstehen
EN 61 000-4-3:1996 durch niederfi*equent schwankende Lasten. Nach
EN 61000-3-3 sind die durch Lastwechsel ver-
CISPR ist die Benennung fur das Internationale ursachten Riickwirkungen auf das 230-V-Netz
Fachkomitee fiir Funkstorungen, franz. Comite so zu begrenzen, daB diese kein storendes
International Special des Perturbations Radio- Flackem „Flicker" an Gluhlampen verursachen.
electriques. Die lEC-CISPR Publikationen gel- Zur Messung des Flickers bewertet man den
ten weltweit. physiologischen storenden Flicker, der an einer
Von Bedeutung sind auBerdem die in den USA 60-W-Gluhlampe durch Spannungsschwankun-
fiir den zivilen Bereich verbindlich geltenden gen an einer normierten Netzimpedanz entsteht.
FCC-Rules (FCC: Federal Communications Laser-Drucker sind typische Verursacher von
Commission): FCC part 75, subpart J, class B Flickem.
18 Losungen der Ubungsaufgaben 645

18 Losungen der Ubungsaufgaben

1 Grundlagen der Elektrotechnik


U 1.6-1: di)Xc = -67>,66a\X^=6()a\Z = R+]{X^'^Xc)\Z=UII=^sJ^T{X^^^

= 133,28 r^-133,3 a. b) Z = (133,3-y 3,66) Q. c) tan <^ = -^-—^ = -0,275; (^ = -1,57°. d ) I = - =(7,497 +
R Z
j 0,206) mS; G = 7,497 mS; 5 = 0,206 m^\Y=IIU= ^G' + B^ = 7,5 mS.

U 1.6-2: a) Reihenschaltung X^^ = (500 +yl445)n, (p = 70.9°; X^^ = (500 -71273) Q, (p = -68,6°; J^RLC
= (500+7 172)0,(^=19,0°.
b) Parallelschaltung X^^ = 446,5 a + 7154,5 0, (p = 19,1°; X^c = 443,2 0 - 7*170 0. (p = -21,4°; X^c
= 499 O -7 23,3 Q,(p = - 2,67°.

t/ 7.(5-5; Zi = (1000 -j 530,5) O, Z2 = (500 +7 188,5) O; Y, = —= —— - — S = (0,780 +7 0,414) mS,


-1 V y , 7 ,_2 V ^ Zi 1000-7530,5 •

¥2 = — = ^ S = (1,75 -7 0,66) mS; I,=^U-Y, = (179,4 +7 95,2) mA.


-' Z2 5 0 0 + 7 188,5 ^ ' -/ ' ^ '-1 -1 V , y , /
-56,6
/2 = t/ • I2 = (402.5 -7 151,8) mA, I^,, = Zi + Zz ^ 582 -7 56,6) mA; <^ = arctan - — ^ = - 5,5°.
582
t/7.(5-¥.-ages = -4,54 dB, Pein = 4,8 • 10-9 W = -53,2 dBm, P,,, = -53,2 dBm + 4,54 dB = 48,66 dBm = 13,6 • 10-^ W.

U 1.6-5: a) 40 kHz (genormt: 44 kHz); b) 8mal hohere Abtastrate; c) 160 kHz (auf 44 kHz; bezogen: 176 kHz),
d) Das nachgeschaltete TiefpaBfilter kann sehr einfach ausfallen. Zudem wird das Nutzspektrum nicht durch
eine nicht ideale Filterfunktion beeintrachtigt (Tonreinheit).

U 1.8-1: d) ii^= 3900 (250/300)1'^^ cmWs = 5278 cmWs, jLip = 1900 (250/300)-2'33 cmWs = 2906 cmWs,
n, = «io T'' Q-^V^T) = 1 3g . 1012 cm ^ x = e «i ( ^ + fip) = 1,81 • 10"^O-^ cm-^ p = 553 O cm. i? = ^ //A = 27,7 kO;
b) E^ (250 K) = 0,6785 eV, damit wird n^ = 8,99 • 10^* cm ^ ^ = 848 O cm. R = 42,4 kO. c) Storstellenerschopfung;
nach Gl. (1.6-11) ist;? = 1,05 • lO''^ cm^, n = 5,16 • lO^^ cm-^ ^ = 28,4 O cm, /? = 1,42 kO.

i) 1.8-2: a) m^,N = n^ MJN^ = 24,9 ^ig/cml b) N^^ = ^si N^JM^^ = 5 • 10^^ cm'^: auf ein As-Atom kommen
2,5 • 10^ Si-Atome; c)a = {Xln^f^ = 17,1 nm; d) ^ = \l{e n^ p^) = 5,2 • 10-^ O cm, p^ - 600 cmWs.

U 1.8-3: ^)R = Ro e^f"/"'^>_zw._^/? = R^ Q(E^'^^'^\ E^ = ^^J^^^li^^ = 12,76 meV; es handelt sich um Phos-
phor. b) T2 = . ^ i n ^ : 3,53 K.

U 1.8-4: a) A«o = ^n ^n ^ 10^^ cm ^ b) Q^ = \l{e p^ p^ = 130 O cm, bei Beleuchtung gilt n = n^ + A«o = AWQ
= W^ cm-\p=p^ + Apo = 1,1 • 10^^ cm-^ Q, = 94,1 O cm. c) An = Ario e = 3,68 • lO^^ cm-l n = 3,68 • lO^^ cm^,
p = 1,04 • 10^4 cm-^ ^ = 114 O cm.

U 1.8-5: a) Dp = {kTle) p^ = 11,25 cm^/s; b) L^ = ^sl^v ^ ^,35 ^im; c) ;?(jc) = p^ e"^^?, JC = 3,35 ^im In (100)
= 15,4 ^im.

U 1.8-6: a) U^ = 0,0259 V • In (10^71,3 • 10^^) = 0,654 V. b) ^ = 2,922 ^im, d^ = 2,919 ^im, d, = 0,003 ^im, die
Ausdehnung ins n-Gebiet ist vemachlassigbar klein. c) ^^^x "= - 4,48 • 10^ V/m; d)7s ~ e D^ n^JL^ = 0,99 nA/cm^;
der Beitrag des Locherstroms ist praktisch vemachlassigbar.

2 Passive Bauelemente
ij 2.2-1: a) R^,,, = (250 V)'/(0,33 W) = 189,39kQ; b) C/R^I = ^100 kO • 0,33 W = 181,66 V (zulassig), V^
y 4 7 0 k Q 0 , 3 3 W = 270 V (nicht zulassig).
646 18 Losungen der Ubungsaufgaben

3 Aktive Bauelemente
U 3.2-1: Re = 8,2 kQ, R* = 6,58 kQ, R^ = 270 Q, R^, = 1 MQ, R^^ = 56 kO, R^ = 31,5 kQ, R^ = 6,58 kQ.

t/i.2-2; i?c = 5,5 kQ, R^ = 270 Q, i?B2 = 47kQ, i?Bi = 560 kQ.

U3.2-3: U^^IY, R^^ISO Q, R^ = 749 Q, i?^ = 240 Q, i?i = 180 Q, R^ = 90 Q. Der Eingangswiderstand
wird vorwiegend durch den Basisspannungsteiler bestimmt.

U 3.2-4: i?i = 3,3 kQ, i?2 = 12 kQ, Rf = 20 kQ, P^^^ = 313 mW, wenn der Spannungsabfall am Verbraucher
ovist.

4 Hochfrequenz (HF)-Verstarker
2-1 1,5-1
U4-1: NF^ = 0,8 dB + dB + - dB = 1,01 dB.
10 10 11

U 4-2: a) Berechnen des Reflexionsfaktors nach Gl. (4-24) oder Eintragen der normierten Last ins Smith-Dia-
gramm und Ablesen des Reflexionsfaktors;
b) 5 0 M H Z : X L = 3 , 1 4 2 Q
ZL = 0,197 0 + 7 3,142 Q
ZJZo = 0,004 +y 0,063
r = 0,99/172,8° (fast vollstandige Reflexion)
5 GHz: XL = 314,2 n
ZL = 48,77 Q +j 3,76 Q
ZL/ZO = 0,98+7 0,16
r = 0,08/92,5° (fast vollstandige Anpassung)

U4-3: a) Bild 4-16b, d, f, h, k, 1, m, n, o, p, q; b) L2 = 4 nH, C2 = 0,58 pE

5 Bauelemente der Leistungselektronik


U5-1:
t^dAv(«= 0°) = 513V Die gesuchte Funktion ist eine Kosinusfunktion:
t / , A v ( a = 30°) = 444V f^dAv(a) = 513Vcos(a).
£/,Ay(a= 60°) = 256 V
t^dAv(a= 90°) = OV
^dAv(a = 120°) = - 2 5 6 V

U5-2: u^=L di/dt = 3 ^H 1000 A/|LIS = 3 kV.

U5-3: C/,^v = ^ • ^ = ^ • 2 3 0 V - 7 2 = 207V,/,^V = 2/TAVM = 1 0 A , 5 = C/RR^,/^= 800/(220^2) = 2,57.

6 Optoelektronik
U 6-1: r = Jl.QJE^ = 7,1 cm.

U6-2: a) t]^^, = (e^.WF^PO = 0,19% mit E^^ = 2,1 eV; b)R = I^/e = 6,24 • 10^^ s '
18 Losungen der Ubungsaufgaben 647

U6-3: Ry = (U- Up)IIp = 1,04 kQ mit t/p « 1,6 V.

U6-4: a) A/p ^ AU^/Ry = - 1,36mA, A/p/Zp = - 6,1%, b) R^ = {U^-U^)II^ = 151 Q mit U^ = 1,61 V aus
a), c) A/p = - 1,66 mA, A/p//p = - 7,4%.

U6-5: n..^ = (elhj) (d<PJdI^) = 31%, T^ = , T^~^^ , = 61 K, A,o = 14,4mA.

U6-6: a) /^^ = 21,2 mA; b) ^ = 0,296 mW; c) I^^2 = 16,8 mA; d) $ = 3,9 mW.

U 6-7: L = X^I{1 n hk) = 128 ^im.

U6-8: ^)NEP = A"^B^'^ID* = 3,2-^0-'^W; b) N = NEPIhf=2A'\0' s'K

U6-9: a) i?L= ^d = 1 M^^ b) U^ = U^^ARjAR^) = 120mV; c)/g, = 0,276/T = 1,1 kHz.

U6-10: a) U^ = 0,414 V, /^ = 50,4 mA, U^ = 0,53 V, 4 = 56,4 mA, Fp = 70%, i?L = 8,2 Q, b) U^ = 0,371 V,
4 = 49,3 mA, Fp = 61%, R^ = 7,5 Q.

U 6-11: a = ^ (A^/AL) • 10 Ig e = 5,43 dB/km.

U6-12: Ar = MLA;i = 384ps.

7 Sensoren: -

8 Analoge integrierte Schaltungen


U8-1: Schaltung nach Bild 8-23, J?2/^i = 19, Festlegung: R2 = 10 kQ, R^ = 470 Q.

U8-2: Schaltung nach Bild 8-34, RQ wird auf 20 kQ festgelegt. R^ = 4 kQ, i?2 = 2 kQ, R^ = 1 kQ. Schaltung
mit i; = - 1 (Bild 8-21) mu6 folgen, darin ist i?i = i?2 •

U8-3: Schaltung nach Bild 8-39, U^ff = 1,110/^2, Verstarkung nach Gl. (8-17), R2 = 2,2R.J^2; R- so fest-
legen, daB /^^^ ^ 1 mA ist.

U8-4: Schaltung nach Bild 8-58 a, aus Bild 8-58 b wird der Dampfungsfaktor a = l gewahlt. Ansatz:
Ci = 22 nF, R = 22 kQ, C2 = 120 pF. C^ kann im Prinzip frei gewahlt werden, R und Cj andern sich entspre-
chend. Die Werte soUen aber nicht extrem groB oder klein werden.

U8-5: Schaltung nach Bild 8-68, der Wert eines Bauelements ist frei wahlbar, die weiteren werden berechnet.
Ansatz: C = 330 nF, 2 C = 660 nF, R = 9,646 kQ, i?/2 = 4,823 kQ. Die Werte diirfen hochstens 0,5% vom
berechneten Wert abweichen, sonst verschiebt sich die Mittenfrequenz oder die Unterdriickung wird schlecht.
Um benachbarte Frequenzen wenig zu schwachen, wird der Ruckkoppelfaktor a = 0,75 gewahU.
648 18 Losungen der Ubungsaufgaben

9 Digital-Analog- und Analog-Digital-Wandler: -

10 Analoge Regelungstechnik: -

11 Grundlagen der digitalen Schaltungstechnik


U11.1-1: Hexadezimal und Dezimal.

U 11.1-2: Hexadezimalsystem 10H = 16D, Dezimalsystem 10D = 10J), Oktalsystem 10O = 8D, Dualsystem
10B= 2D.

U 11.1-3: 11^.

U 11.1-4: 3E7H.

14„ • 14„
U 11.1-5: , —f-; 14H • 14H = 2% • 2% = 400^; 190H = 1 • 256^ + 9 • 144^; 190H = 400,,.
14„
ij 11.1-6: Eine normalisierte Mantisse liegt dann vor, wenn das Nachkommabit sich vom Vorzeichenbit
unterscheidet. Bei einer positiven Zahl ist das Nachkommabit eine „V\ Also ist der kleinste mogliche Wert
einer positiven Gleitkommazahl 0,10000... B, was nach Gl. (11-3) 0,5D entspricht.

U 11.2-1: a) Nur Fehler mit dem Gewicht 1; b) nein;


C) D3 D2 Di Do Paritats-Bit d
0 0 0 0 0 0
0 0 0 1 1 0
0 0 1 1 0 0
0 0 1 0 1 0
0 1 0 0 0
0 1 1 1 0
0 0 1 0 0
0 0 0 1 0
1 0 0 0 0
1 0 1 1 0

U 11.2-2: a) Ja; b) —.

U 11.2-3: a) 7; b) 7; c) 3; d) Ja, Fehler mit dem Gewicht 4; e) Zusatzhch zu den zwei korrigierten Fehlern
konnen noch 3 hoherwertigere erkannt werden; f) 3, 4 und 5.

U 11.2-4: a) d^^^ = 3; b) 4; c) Nein, hier sind bereits 5 KontroUstellen notwendig.

U 11.3-1: Die Gesetze von De Morgan.

U 11.3-2: a), d)undg).

til 1.3-3: a) Do D^ P
0 0 0
0 1 1
1 0 1
1 1 0
b) P = ( D ; D , ) + ( D O D ; ) ;
c) durch die Antivalenz: P = (DQ ® D^).
18 Losungen der Ubungsaufgaben 649

U113-4: a) D3 D2 Dj DQ P Z Primzahl:
0 0 1 1 1 3
0 1 0 1 1 5
0 1 1 1 1 7
1 0 1 1 1 11
1 1 0 1 1 13
b) (Do • Di • D2 • D3) + (Do • Di • D2 • D3) + (Do • Di • D2 • D3) + (Do • D^ • D^ • D3) +
( D o D , D , D 3 ) = PZ;
c) PZ = D O - [ ( D I - D ; D ; ) + ( D ; - D 2 - D ; ) + ( D I - D 2 D ; ) + ( D , - D ; - D 3 ) + ( D ; D 2 D 3 ) ] ;
PZ = Do • [D3 • (Di + D2) + D3 • (Di ©D2)];
d) Schaltung zu c:
Do

Di- >l
>1
& \—?z
D,-

&

U 11.4-1: 128, 256, 1024 und 4096 Felder.

U11.4-2: UND: ODER: Excl.-ODER:


A B u A B 0 A B
0 0 0 0 0 0 0 0
10 ^
0 1 0 0 1 1 0 1 1
1 0 0 1 0 1 1 0 1
1 1 11 1 1 1 11 1 1 1 11 0
B B B B B B

0
U 11.4-3:
a) Gray-Kode: hexadezimale Zahlen:
G R A Y D3 D2 Di Do
0 0 0 0 0 0 0 0
0 0 0 1 0 0 0 1
0 0 1 1 0 0 1 0
0 0 1 0 0 0 1 1
0 1 0 0 1 0 0
0 1 1 0 1 0 1
0 0 1 0 1 1 0
0 0 0 0 1 1 1
1 0 0 1 0 0 0
1 0 1 1 0 0 1
1 1 1 1 0 1 0
650 18 Losungen der Ubungsaufgaben

Gray-Kode: hexadezimale Zahlen:


G R A Y D3 D, Di Do
1 1 0 1 0 1 1
0 1 0 1 1 0 0
0 1 1 1 1 0 1
0 0 1 1 1 1 0
0 0 0 1 1 1 1

b) Do = (G • R • A • Y) + (G • R • A • Y) + (G • R • A • Y) + (G • R • A • Y) + (G • R • A • Y) +
(G • R • A • Y) + (G • R • A • Y) + (G • R • A • Y)
Di = (G • R • A • Y) + (G • R • A • Y) + (G • R • A • Y) + (G • R • A • Y) + (G • R • A • Y) +
(G • R • A • Y) + (G • R • A • Y) + (G • R • A • Y)
D2 = (G • R • A • Y) + (G • R • A • Y) + (G • R • A • Y) 4- (G • R • A • Y) + (G • R • A • Y) +
(G • R • A • Y) + (G • R • A • Y) + (G • R • A • Y)

(G • R • A • Y) + (G • R • A • Y) + (G • R • A • Y)

c)
G G

1 1 Y
R\
1 ^ 1 1 ^ 1Y
1 1
I^J [1 J
\Y \Y
1 1 M1 1 ^1
R,
1 1 Y 11J Y
1^J
A /\ A 1 Do A /I A Di

1 1 1 Y [1 1 Y

1 1 1 1
\Y \Y
I1 1 1 1

1 1J Y 1 1 J Y
V

A /\ A D2 A >A A D3

d) Fiir DQ lassen sich keine Zusammenfassungen nach den Gesetzen von Karnaugh-Veitch finden. Jedoch
stellen die vier 2 x 2-Quadrate Exclusive-ODER-Verkniipfungen dar (Untergruppen), wie sie in der Ubungs-
aufgabe JL4-2 enthalten sind. Auch die Boolesche Algebra (Abschnitt 11.3) fuhrt hier eher zum Ziel. Fiir die
Ausgangsvariablen DQ bis D3 ergeben sich:
Do = [G • R • (A© Y)] + [G • R • ( A e Y)] + [A • Y • (G©R)] + [A • Y • (G©R)]
Di = (G • R • A) + (G • R • A) + (G • R • A) + (G • R • A)
D2 = (G • R) + (G • R)
D2 = G©R
D, = G
18 Losungen der Ubungsaufgaben 651

U 11.4-4:
a) BCD-Zahlen: angesteuerte Segmente: Zahl:
A B c D a b c d e f g
0 0 0 0 1 1 1 1 1 0 0
0 0 0 1 0 1 0 0 0 0 1
0 0 1 0 1 1 0 2
0 0 1 1 1 0 0 3
0 1 0 0 1 0 0 1 4
0 1 0 1 0 0 1 5
0 1 1 0 0 1 1 6
0 1 1 1 1 0 0 0 0 7
1 0 0 0 1 1 1
1 0 0 1 1 0 1

b) 7

c) a = ( A B C D ) + ( A B C - D) + (A • B • C • D) + (A • B • C • D) + (A • B • C • D) + (A • B • C • D) +
(A • B • C • D) + (A • B • CD)•
b = (A • B • C • D) + (A • B • CD)• + (A • B • C • D) + (A • B • C • D) + (A • B • C • D) + (A • B • C • D) +
(A • B • C • D) + (A • B • CD)•
c = (A • B • C • D) + (A • B • CD)• + (A • B • C • D) + (A • B • C • D) + (A • B • C • D) + (A • B • C • D)
D) + (A • B • C • D)
(A • B • C • D) + (A • B • C
D) + (A • B • C • D) + (A • B • C • D) + (A • B • C • D) + (A • B • C • D)
d = (A • B • C • D) + (A • B • C
(A • B • C • D)
D) + (A • B • C • D) + (A • B • C • D)
e = (A • B • C • D) + (A • B • C
D) + (A • B • C • D) + (A • B • C • D) + (A • B • C • D) + (A • B • C • D)
f = (A • B • C • D) + (A • B • C
D) + (A • B • C • D) + (A • B • C • D) 4- (A • B • C • D) + (A • B • C • D)
g = (A • B • C • D) + (A • B • C
(A • B • C • D)

1 D
a D rrT^ D

1
Nr 1
\u
1 1 k 1
n
1 1

1 1 b
-D

c
1

c
(3 b
c
D

a
ly
c
l_L
c
H
c
D

b C
'J C
L_
c
D

>4 4

P\ GJ 0 D
Ha D

lO U
h n
|U Hb b nG:
D

R
\D

c ( c
0

c ( c
D

e
hi
c (
(^
c
D

f
d '
652 18 Losungen der Ubungsaufgaben

e) a = (A • C) + (A • B • D) + (A • B • D) + (A • B • C)

0n D b = (A • B) + (B • C) + (A - C • D) + (A • C • D)

u c = (A • B) + (A • D) + (B • C)
d = (A • C • D) + (A • B • C) + (B • C • D) + (A • B • C • D)

h
\D
1

u
e = (A • C • D) + (B • C • D)
f = (A • B • D) + (A • B • C) + (A • C • D) + (A • B • C)

c c
\M c D

g = (A • B • C) + (A • C • D) + (A • B • C) + (A • B • C)
g

Durch die Anwendung der Kegel 10 auf Seite 442 laBt sich das Ergebnis von e) wie folgt vereinfachen:

a=A+C+DB+DB
b = A + B + A CD + CD
c=A+D+B+AC
d=A+CB+DB+BCD+ACD
e = B D + CD
f = A + BD + CD + ABC
g=A+BD+BC+ABC

12 Digitale Bauelemente
ti 12.1-1: Der Rauschspannungsabstand.

U 12.1-2: a) Sie haben unterschiedliche Eingangspegel; b) Pull-Up-Widerstand; c) Nein; d) Nein, der Stor-
spannungsabstand verbessert sich.

U 12.2-1: a) Mit bipolaren RAM-Bauteilen; b) 16384 32 Bit Worte; c) 16kBit bipolar RAM, organisiert
zu 4 Bit; d) 8; e) 32.

13 Entwicklung digitaler Schaltungen


if 13-1: Bei periodischen Ereignissen ist die Anzahl der moglichen Zustande begrenzt.
U13-2: a) 20mal schneller; b) bei 5%: 1 Produkt-Term, bei 25%: 5 Produkt-Terme, bei 75%: 15 Produkt-
Terme.
18 Losungen der Ubungsaufgaben 653

c)

Do

Di

D2

D3

D4

fijr 85% bis:

Tastverhaltnis von 10%:

T10 I 1

T i o = (Do- D, • D^- 03- D4) + (Do- D, • D^- D3- D4

Tastverhaltnis von 8 5 % :

'85
\ r
T85 = ( D O D ; D ; D ^ D ; ) + ( D ; D , D ; D ; D ; ) + ( D O D I D ; D ; D ; ) +
(D^D; D2D;D;)+(DO D ; D 2 D ; D ; ) + ( D O D I D 2 D ; D ^ ) +
(Do-Di D 2 D ; D ; ) + ( D ; D ; D ; D 3 D ; ) + ( D O D ; D ; D3 D;) +
( D ; • D, • D ; • D3 • D^) + (Do • Di • D ; • D3 • D ; ) + ( D ; • D ; • D2 • D3 • D^) +
(Do • D; • D2 • D3 • D^) + (Do • Di • D2 • D3 • D^) + (Do • Di • D2 • D3 • D^) +
(D;D;D; D;DJ + (DOD;D;D;DJ

d) Bei Verwendung von negativer Logik erhalt man fiir das Tastverhaltnis von 85% nur noch 3 Produkt-
Terme:
T 8 ; = ( D ; D I D ; D ; D J + ( D O D I D ; D ; D J + ( D ; D ; D 2 D ; D 4 )

U13-3:

a) Eingangssignal:

verzogertes Eingangssignal:

invertiertes Eingangssignal:

UND-Verkniipfung des verzogerten und des invertierten Eingangssignals:

Ausgangssignal:

Eingangssignal
1
L differen-
& —zierte
1 1 •— 1 1
654 18 Losungen der Ubungsaufgaben

b) Eingangssignal:

verzogertes Eingangssignal:

invertiertes Eingangssignal:

Exclusive-NOR *-Verknupfung des verzogerten und des invertierten Eingangssignals:

Ausgangssignal: 11

* nur wenn beide Eingangssignale gleich sind, wird der Ausgang wahr.

Eingangssignal H i
differen-
-zierte
Flanken

c) Ja.

d ) Eingangs-^ .
signal I ~__ ___ T differenzierte
Vorderflanke

differen-
-zierte
Riickflanke
7V-n

Nach den Gesetzen von De Morgan (s. Abschn. 11.3.2) laBt sich die UND-Verkniipfung mit den beiden
invertierten Eingangssignalen in eine ODER-Verkniipfung mit invertiertem Ausgang umwandeln:

1 _differenzierte
>1 Ruckflanke

C/yi-^.a)Nein, b) Ja.

t) 13-5: a) 75 Q, b) UQ = 0,667, c) /Q = 15 mA, d) Nein, der Ausgang wiirde mit 22,5 mA iiberlastet.

Ui3-6: a) a^ = 1, b) ^L = 0.05, h^= 0,95, c) FehlabschluB = 9,3%


d)

^omi = 1 f =0

^Q|2rp,) = 1'05 f = 2-f, ^ = fpd ^LV)=0,95


18 Losungen der Ubungsaufgaben 655

U 13-7:
a)

Q 1-1

^ 1]

g/^
b) UQ = 0,5 (ideale Anpassung bei der Leitungseinkopplung in L^)
Leitungspunkt: Reflexions- Brechungsfaktor:
koeffizient:
1 0 1
2 -1/3 2/3
3 0,14 1,14
4 -0,2 0,8
Knotenpunkt 5:
fiir Li -0,579 0,21
fiir L2 -0,684 0,158
fiir L3 -0,158 0,42
fiir L. -0,579 0,21

c) Lattice-Diagramm in Tabellenform:
ipji steht fiir die kiirzeste Leitungslaufzeit (entsprechend den Leitungen L2 und L J . In der Tabelle sind Vielfache
der Laufzeit aufgetragen.

Laufzeit Punkt 1 Punkt 2 Punkt 3 Punkt 4

0 0,5 0 0 0

1 0,5 0 0 0 Laufzeit
auf
2 0,5 0 0 0
Knotenpunkt
3 0,5 0 0 0

4 0,5 0,07 0 0,084 , ' Laufzeit auf L2 und L4


auf Lo
5 0,5 0,07 0,12 0,084

6 0,210 0,07 0,12 0,084


In diesem Bereich kommen bereits
7 0,210 0,085 0,12 0,094
die Reflexionen zum Tragen.
8 0,199 0,085 0,12 0,094

d) Die Tabelle unter c) ist einfach in ein Schaubild einzutragen.

ij 13-8: a) Die Laufzeit auf der Leitung mu6 geringer sein als die Anstiegs- oder Abfallzeit der Flanken.
b) Die Flankenzeiten selbst und die kapazitive Belastung.

U13-9: a) Z = 50 Q, b) CQ = 1,1 pF/cm, c) C L = 18 • Cpup.Fi^p = 72 pF, d) l^^^ = 8,9 cm. e) Mittlere Leitungs-
lange zwischen Bauteilen: /^^utei = 0,49 cm; nicht realistisch, da die 18 Bauelemente nicht so plaziert werden
656 18 Losungen der Ubungsaufgaben

konnen, daB dies eingehalten wird. f) LeitungsabschluB, Abflachung der Flanken durch einen Langswiderstand,
Abflachung der Flanken durch langsamere Puffer-Bauteile, Takt-Baum. g) Aufspaltung der kapazitiven Last
in mehrere Teillasten. Fiir 6 Teillasten ( C L = 24 pF) gilt: /^^^ = 17,0 cm. Damit ist die Bedingung mindestens
3 cm pro Bauteil nicht erfiillt. Fiir 5 Teillasten ( C L = 20 pF) gilt: l^^^ = 18,2 cm. Damit ergibt sich eine mittlere
Leitungslange von 3,6 cm.

Takt-Baum: 1 L__Takt1 fiir Flip-Flop 1 bis 5

1 y—Takt2 fijr Flip-Flop6bis 10

Takt-
1 I*—Takt 3 fiir Flip-Flop 11 bis 15

-Takt4 fiir Flip-Flop 16 bis 18

h) Bei groBen Takt-Baumen muB man darauf achten, daB die Laufzeiten in alien Asten gleich sind.
i) Bedingung von h) wird in g) erfullt.

U13-10: a) Durch Laufzeitunterschiede, b) durch redundante Bauelemente, durch getaktete Schaltungen.

U13-11: a) OE darf friihestens 22 ns nach dem sicheren Anstehen der Adressen aktiviert werden. b) undefi-
nierter Ausgangszustand; c) Einsatz von redundanten Bauelementen zur Laufzeitverzogerung von OE;
d) Nein, da unterschiedliche Laufzeiten durch den Dekoder ebenfalls fiir Storungen sorgen konnen.

14 ASIC: -

15 Speicherprogrammierbare Steuerungen
U15-1: Steuerung fiir Motorschiitz

Anweisungsliste
1 SPS
Motor- Befehl | Wirkung
T1 schutz
E0.1 A0.1 UEO.l Wenn Taste Tl
^T2 UE0.2 und Taste T2 betatigt sind,
^-'^ E0.2
^ dann Motorschiitz einschalten.
T3 S AO.l
E0.3
[ ^- T4
OE0.3 Wenn Taste T3
T E0.4 OE0.4 Oder Taste T4 betatigt ist,
RAO.l dann Motorschiitz ausschalten.

U15-2: Steuerung fiir Warntongeber

AnschluBbelegung AnweisungsHste
Befehl Wirkung
SPS Warn-
E0.1 A 0.2 ton-
UE0.2 Wenn Taste T2 betatigt wird,
geber LKS20 dann Zeitglied Tl mit der
S Tl t^ = 20 s starten.
UEO.l Wenn Schalter SI eingeschaltet
UNTl und die Zeit t^ abgelaufen ist,
S A0.2 dann Warntongeber einschalten.
UE0.3 Wenn Taste T3 betatigt ist,
RA0.2 dann Warntongeber ausschalten.
658 19 Weiterfiihrendes Schrifttum

19 Weiterfiihrendes Schrifttum

Abschnitt 1 KurScheldt, P.: Leistungselektronik. Stuttgart: Kohlhammer


Verl.
Clausen, H., u. G. Wiesemann: Grundgebiete der Elek-
trotechnik Bd. 1 u. 2; 4. Aufl. Miinchen: Oldenbourg Verl.
1990. Abschnitt 6

Ebinger, A.,\x. V. Adam: Komplexe Rechnung in der Wechsel- Bleicher, M.: Halbleiter-Optoelektronik. Heidelberg: Hiithig
stromtechnik, 3. Aufl. Heidelberg: Hiithig Verl. 1986. Verl. 1986.
Follinger, O.: Laplace- und Fourier-Transformationen. Eli- Bludau, W., H. M. Gundner u. M. Kaiser: Systemgrundlagen
tera Verl. 1977. und MeBtechnik in der optischen Ubertragungstechnik.
Teubner Studienskripten. Teubner Verl. 1985.
Hoffmann, R. K.\ Integrierte Mikrowellen-Schaltungen.
Springer Verl. 1984. Gillessen, K., u. W. Schairer: Light Emitting Diodes. Pren-
tice-Hall.
Muller, R.: Grundlagen der Halbleiter-Elektronik. In: Halb-
leiter-Elektronik. Bd. 1; 6. Aufl. Berlin: Springer Verl. 1990. Harth, W., u. H. Grothe: Sende- und Empfangsdioden fur
Paul, R.: Halbleiterphysik. Heidelberg: Hiithig Verl. 1975. die optische Nachrichtentechnik. Teubner Studienskripten.
Teubner Verl. 1984.
Sze, S. M.: Physics of Semiconductor Devices. 2. Aufl. Verl.
Wiley-Interscience 1981. Kersten, R. T: Einfiihrung in die optische Nachrichten-
technik. Springer Verl. 1983.
Abschnitt 2 Knoll, P. M.: Displays. Heidelberg: Huthig Verl. 1986.
Beuth: Elektronik 2, Bauelemente. 11. Aufl. 1988. Wurzburg: Mahlke, G, u. P. Gossing: Lichtwellenleiterkabel. Siemens.
Vogel Buchverlag. 1988.
Kiipfmuller, K.: Einfiihrung in die theoretische Elektrotech-
Paul, R.: Optoelektronische Halbleiterbauelemente. Teubner
nik. 13. Aufl. Berlin: Springer Verl. 1990.
Studienskripten. Teubner Verl. 1989.
MeinkejGundlach'. Taschenbuch der Hochfrequenztechnik.
4. Aufl. Berhn: Springer Verl. 1986. Abschnitt 7
Sze, S. M.: Physics of Semiconductor Devices. New York: Reichl, H.: Halbleitersensoren. Esshngen: Expert Verl. 1989.
John Wiley & Sons.
Schanz, G. W.: Sensoren. 2. Aufl. Heidelberg: Hiithig Verl.
Abschnitt 3 1988.
BeuthjSchmusch: Elektronik 3, Grundschaltungen. 9. Aufl. Shah, R., G. R. Tschulena, U. Fiihrer u. W. Miiller: Sensoren
1988. Wiirzburg: Vogel Buchverlag. 86/87, Special. Diisseldorf: VDI-Verl. 1986.
Das FET Kochbuch, Herausgeber Texas Instruments 1977 Technisches Messen. Sonderh.: Sensoren. Munchen: Olden-
Muller, R.: Bauelemente der Halbleiter-Elektronik. In: Halb- bourg Verl. 1983.
leiter-Elektronik. Bd. 2; 3. Aufl. Berlin: Springer Verl. 1987. Technisches Messen. Sonderh.: Sensoren. Miinchen: Olden-
Tietze, U., u. C. Schenk: Halbleiter-Schaltungstechnik. bourg Verl. 1988.
10. Aufl. Berlin: Springer Verl. 1993. Technisches Messen. Sonderh.: Sensoren in Dickschichttech-
nik. Miinchen: Oldenbourg Verl. 1989.
Abschnitt 4
Sensoren - Technologic und Anwendung. VDI-Ber. 677.
Abrie, P. L. D.: The Design of Impedance - Matching Net- Diisseldorf: VDI-Verl. 1988.
works. Artech House.
Meinke/Gundlach: Taschenbuch der Hochfrequenztechnik. Abschnitt 8
4. Aufl. Berhn: Springer Verl. 1986.
Beuth/Schmusch: Elektronik 3, Grundschaltungen. 9. Aufl.
Voges, E.: Hochfrequenztechnik. Bd. 1: Bauelemente und 1988. Wurzburg: Vogel Buchverlag.
Schaltungen. Heidelberg: Hiithig Verl. 1990.
Harms, G.: Linearverstarker. Wiirzburg: Vogel Verl. 1978.
Zinke/Brunswig: Lehrbuch der Hochfrequenztechnik, Bd. 1;
4. Aufl., Bd. 2; 3. Aufl. Berhn: Springer Verl. 1990. Millmann, 1, u. A. Grabel: Microelectronics. New York:
McGraw-Hill 1989.
Abschnitt 5 MOT HB 206 Rev 3. Motorola Inc. 1989.
Neumann, K.: Grundlagen der Leistungselektronik. Teubner Linear Circuits. Data Book Bd. 3. Texas Instruments Inc.
Taschenb. Teubner Verl. 1989. 1989.
Jotten, R.\ Leistungselektronik. Bd. 1. Stromrichter-Schal- Tietze, U., u. C. Schenk: Halbleiter-Schaltungstechnik.
tungtechnik. Wiesbaden: Vieweg Verl. 10. Aufl. Berlin: Springer Verl. 1993.
18 Losungen der Ubungsaufgaben 657

U15-3: Ventilsteuerung

AnschluBbelegung Anweisungsliste
Befehl Wirkung
SPS
A 0.1 VI UEO.l Wenn Taste Tl betatigt ist,
S AO.l dann Ventil VI einschalten
LKD20 und Zeit /^ = 2 s
S Tl im Zeitglied Tl starten.
A 0.2
feS UAO.l
UNTl
Wenn Ventil VI eingeschaltet
und die Zeit t^ abgelaufen ist
S A0.2 dann Ventil V2 einschalten.
UE0.2 Wenn Taste T2 betatigt ist,
RAO.l dann Ventil VI
RA0.2 und Ventil V2 ausschalten.

U15-4: Parkhaussteuerung

AnschluBbelegung Anweisungsliste
Befehl Wirkung
Stopp-
SPS lampe
1 — EO.O AO.l UEO.O Wenn Taste TO betatigt ist,
LK50 dann Vorwahlzahl 50
G1 EO.l S Zl in den Zahler Zl laden.
UEO.l Wenn Gl eine 0/1-Flanke liefert,
G2 E0.2 ZRZl dann Zahler Zl um 1 erniedrigen.
UE0.2 Wenn G2 eine 0/1-Flanke liefert,
ZVZl dann Zahler Zl um 1 erhohen.
UNZl Wenn Zahlerstand null erreicht
= A0.1 ist, dann Stopp-Lampe einschal-
ten, sonst ausschalten.

16 Schnittstellen, Bussysteme und Netze: -

17 Stromversorgung
U 17.2-1: a) R^ = 1,392 kQ, Py^^^^ = 0,216W; b) Py = 1,629W, Y] = 0,38.

U173-1: a) d^^ = 0,35; d^^^ = 0,53; b) L^^,, > 0,385 mH; c) A/L = 0,154A und i^^ = 1,077 A.
19 Weiterfiihrendes Schrifttum 659

Abschnitt 9 Abschnitt 13
Burr Brown: The Handbook of Linear IC Applications. 1987. Best, R.: Theorie und Anwendung des Phase-locked Loops.
Heilmayr, E.: AD/DA-Wandler, Bausteine der Datenerfas- Aarau: AT Verl. 1987.
sung. Haar: Markt & Technik Verl. Burton, E. A.: Transmission-Line Methods Aid Memory-
Kuhnel, C : AD/DA-Praxis. Miinchen: Franzis Verl. 1990. Board Design. Elektronic Design (1989) Jan. S. 58/62

Linear and Conversion Applications Handbook. Precision Elektronik Lexikon. Klockner Moeller.
Monolithics Inc. (PMI) 1968. Follinger, O.: Laplace- und Fourier-Transformation. AEG-
Motorola Semiconductors: AD and DA Conversion Hand- Telefunken. 1990.
book. Geschwende, H.\ Einfiihrung in die PLL-Technik. Wies-
Hybrid Systems Datalinear. Sipex Corporation. 1988. baden: Vieweg Verl.
Linear and Interface Circuits. Product Applications. Bd. 3. Keuper, A.: FFT in der Praxis. Elektron. J. (1988) Nr. 20,
Texas Instruments 1988. S. 104/110.
Spiegel, M. R.: Fourier-Analysis. McGraw-Hill. New York.
Abschnitt 10
Das Qualitats ABC. Texas Instruments.
Ebel, T: Regelungstechnik. 5. Aufl. Stuttgart: Teubner Verl.
1987.
Abschnitt 14
Follinger, 0.\ Regelungstechnik. 6. Aufl. Heidelberg: Huthig
Verl. 1990. ACT Field Programmable Gate Arrays. Actel.
Karg, E.\ Regelungstechnik. 6. Aufl. Wiirzburg: Vogel Verl. ASIC Data Book. Mietec.
1989. PAL Device Handbook. AMD and MMI.
Leonhard, W.\ Einfiihrung in die Regelungstechnik. 5. Aufl. Programmable Gate Arrays. AMD.
Wiesbaden: Vieweg Verl. 1990.
Rottner, E.: Testen und Programmieren von Logikbaustei-
Renter, M.: Regelungstechnik fur Ingenieure. 7. Aufl. Wies- nen. Markt & Technik Design & Elektronik (1990) Nr. 9.
baden: Vieweg Verl. 1989. Sonderdr.
Abschnitt 11
Abschnitt 15
Beuth, K.: Elektronik 4, Digitaltechnik. Wiirzburg: Vogel
Verl. Auer, A.: SPS-Programmierung; Beispiele und Aufgaben.
Heidelberg: Hiithig Verl. 1990.
Philippow, E.\ Taschenbuch der Elektrotechnik, Bd. 1 u. 2.
Miinchen: Hanser Verl. 1987. Frei, F,u. M. Bleicher: Speicherprogrammierbare Steuerun-
gen. Heidelberg: Huthig Verl. 1990.
Philippow, E.: Grundlagen der Elektrotechnik. Leipzig: Aka-
dem. Verlagsges. Geest & Portig. Petry, J.: Speicherprogrammierbare Steuerungen. Heidel-
berg: Hiithig Verl. 1990.
Zuiderveen, E. A.: Handbuch der digitalen Schaltungen.
Miinchen: Franzis Verl. 1984. Wellenreuter, G., u. D. Zastrow: Speicherprogrammierbare
Steuerungen. Bd. 1: Verkniipfungs- und Ablaufsteuerungen.
Abschnitt 12 Wiesbaden: Vieweg Verl. 1988.
ACL: Vor- und Nachteile gegeniiber bipolarer Logik.
Markt & Technik. Nov. 1987, S. 81/90. Abschnitt 16
Advanced CMOS Logic Designer's Handbook. Texas Instru- Bocker, P.: ISDN - das dienstintegrierende digitale Nach-
ments. richtennetz. BerUn: Springer Verl.
Advanced CMOS Logic Qualification Data. Texas Instru- Boell, H. P.: Lokale Netze. New York: McGraw-Hill.
ments. CCITT-Empfehlungen der V-Serie und X.-Serie. 5. erw.
Designing with MECL 10,000 . Motorola. Aufl. Bd. 3: Dateniibertragung und Schnittstellen. Heidel-
berg: R. V. Deckers Verl.
Glue Logic: Im Falle eines Falles... . Markt & Technik
(1987) S. 79/81. CCITT-Empfehlungen der V-Serie und X.-Serie. 5. erw.
Aufl. Sonderbd.: Mitteilungs-tJbermittlungs-Systeme. Hei-
High Speed CMOS Logic Data. Motorola.
delberg: R. V. Deckers Verl.
Introduction to the HE4000B Family Data Sheets. Philips
Components. CCITT-Empfehlungen der V-Serie und X.-Serie. 5. erw.
Aufl. Bd. 6: Dateniibermittlungsnetze - Zusammenarbeit
MECL Data Book. Motorola. zwischen Netzen. Heidelberg: R. v. Deckers Verl.
MECL System Design Handbook. Motorola. CCITT-Empfehlungen der V-Serie und X.-Serie. 5. erw.
Aufl. Bd. 7: Dateniibermittlungsnetze - Mitteilungs-Uber-
Nadolski, J.: CMOS ersetzt LSTTL. Elektropraxis. (1986)
mittlungssysteme. Heidelberg: R. v. Deckers Verl.
Nr. 1, S. 40/47.
Zuiderveen, E. A.: Handbuch der digitalen Schaltungen. Conrads, D.\ Serielle Busse. Berlin: VDE-Verl.
Miinchen: Franzis Verl. 1984. Fdrber, G.\ Bussysteme. Miinchen: Oldenbourg Verl.
660 19 Weiterfuhrendes Schrifttum

Gollup, U.: Auswahl und Einsatz lokaler Netzwerke. Heidel- bereich Elektronik fiir Wissenschaft und Industrie. Kassel
berg: Hiithig Verl. 1985.
Halsall, F.: Data Communication, Computer Networks and Gleichrichterschaltungen mit Siliziumzellen. Valvo GmbH
OSI. Addison Wesley. 1966.
Haslinger, E.: Lexikon der Personalcomputer, Arbeitsplatz- Grdtzer D.,u. W. Loges: Transduktorregler in Schaltnetztei-
systeme, Kommunikationsnetze. Miinchen: Oldenbourg len. Vakuumschmelze GmbH Hanau 1988. Technische Infor-
Verl. 1989 mationsschrift TB-410 - 1 .
Kofhage, L.\ Computer Networks and Communikation. Handbuch Schalttransistoren. Thomson CSF. 1979.
AFIPS Press
Kilgenstein, 0.\ Schaltnetzteile in der Praxis. Wiirzburg:
Quarterman, J. S.: The Matrix Computer Networks and Vogel Verl. 1988.
Conferencing Systems Worldwide. Digital Press 1990.
Macek, O.: Schaltnetzteile, Motorsteuerungen und ihre spe-
Schicker, P.: Dateniibertragung und Rechnernetze. Stutt- ziellen Bauteile. Heidelberg: Hiithig Verl. 1982.
gart: Teubner Verl. 1988.
Linear/Switchmode Voltage Regulator Handbook. Q2/89.
Schumny, H.: LAN. Wiesbaden: Vieweg Verl. HB 206 REV2. Motorola Inc. 1989.
ISDN im Buro - HICOM. Siemens. Schroder, H.\ Elektrische Nachrichtentechnik. Verl. Radio-
Stottinger, K. H.\ Das OSI-Referenzmodell. Datacom. 1989. Foto-Kinotechnik Berlin 1968.
Tanenbaum, A. S.: Computer Networks. Prentice Hall. Schwab, A. J.'. Elektromagnetische Vertraglichkeit. Springer
Verl. 1991.
Walke, B.\ Datenkommunikation I, Tl. 2: Sicherungsproto-
koUe fur die Rechner-Rechner-Kommunikation. Heidelberg: Siemens Datenbuch 1990/91 Ferrite. Weichmagnetisches
Hiithig Verl. 1987. Siferrit-Material.
Stoll, D.: EMC Elektromagnetische Vertraglichkeit. Elitera
Abschnitt 17 Verl. 1976.
Boll, R.: Weichmagnetische Werkstoffe. Vacuumschmelze Thorborg, K.: Power Electronics. Verl. Prentice Hall 1988.
GmbH. 2. Aufl., Hanau 1990.
VDE-Vorschriftenwerk, Katalog der Normen, VDE-Verl.
Bracke, Gerlings u. Jongsma: High-Frequency Ferrite Power Berlin (Bestell-Nr. 910100 fiir Katalog und Sachverzeichnis/
Transformer and Chocke Design (AN1261). Valvo, Unter- Register auf MS-DOS - kompatibler Diskette).
nehmensbereich Bauelemente der Philips GmbH Hamburg
1987. Wagner, S.: Stromversorgung elektronischer Schaltungen
und Gerate. Heidelberg: R. v. Deckers Verl. 1964.
Chryssis, G.: High Frequency Switching Power Supplies:
Theory and Design. New York: McGraw-Hill. 1989. Wilhelm, J.: Elektromagnetische Vertraglichkeit (EMV).
Expert Verl. 1981.
Elektromagnetische Vertraglichkeit und Schaltnetzteile.
Applikationshandbuch der Philips GmbH, Untemehmens- Wiistehube, J.: Schaltnetzteile. Expert Verl. 1979.
20 Sachwortverzeichnis 661

20 Sachwortverzeichnis

Amplitudengang 360, 400 asynchrone tJbertragung 599


-, Regelung 400 asynchrones Datenformat 570, 571
Abbild, ProzeB- 554 Analog-Digital-Wandler 375, 383 ATN (Attention) 574
Abblock-Kondensator 624 Analoganzeige 262 Atzen 322
Abblocken 185 analoge integrierte Schaltung 320 Aufladung, elektrostatische 89, 216
Abfallflanke 493 analoge Regelungstechnik 393 Aufnahme, Strom- 327
Abfallzeit 503 analoge Schnittstelle 379, 406 Aufnehmer, MeBwert- 298
abgeschlossene Leitung 462, 495 analoger ASIC 524 Auftaktsignal 570
Abgleichtemperatur 329 Analogmultiplexer 206 AUI (Attachment Unit Interface)
Ablaufschritt 563 AND-Gatter 428, 446, 450, 523 595
Ablaufsteuerung 563 Anforderungsklasse 87 Ausfallrate 89
Ableitstrom 613 Anlage 87 Ausfallwahrscheinlichkeit 539, 547
Abschaltthyristor 233 Anpassung, HF- 218 Ausgabebaugruppe 553
AbschluBwiderstand 496 AnpaBnetz 229 Ausgang, bidirektionaler 531
Abschniirbereich, Feldeffekttransistor AnschluB, Austast- 369 - D O W N - 515,517
196 -, Korrektur- 382 -, kombinatorischer 531
Abschwachung 344 AnschluBbelegung 558 -, registergesteuerter 531
Absorption 316, 318 AnschluBeinheit 595 -, Status- 613
Absorptionsgesetz 429 Anstiegsflanke 493 -, Totem-pole- 452
Abstand, Storspannungs- 453, 459 Anstiegsgeschwindigkeit 327,329,330 -, Tri-State- 460, 523
Abstandsmesser, beruhrungsloser Anti-Fuse 546 -,UP- 515,517
305 Antivalenz-Gatter (EXOR) 428, Ausgangsbuffer 456
Abtast- und Halteschaltung 387, 433, 512 AusgangskurzschluBstrom 327, 330
388 Anweisungsliste 557 Ausgangsleitwert, Sperrschicht-FET
Abtast- und Halteverstarker 388 Anwendungsklasse 87 198
Abtastfrequenz 389 Anwendungsschicht 588, 589 -, Transistor 162
Abtasttheorem, Shannon 49 Anzeige, alphanumerische 265 Ausgangsregister, Tri-State- 387
Abweichung, Soll-Ist- 393 -, Analog- 262 Ausgangswiderstand 496
AC (Advanced CMOS-Bauteil) 461 -, Flussigkristall- 261, 264 -, HF-Transistor 221
Achtungsignal 574 -, numerische 263 Ausgleichsvorgang 64
Acknowledge 572 -, Sechzehnsegment- 265 Aussage, logische 426
ACSE (Association Control Service -, Siebensegment- 263 Aussetzspannung, Glimm- 641
Element)-Protokoll 602 APD (Avalanche Photo Diode) 276 Aussteuerbereich 327
ACT (Advanced CMOS-Bauteil, aperiodischer Grenzfall 337, 402 Ausstrahlung, spezifische 241
TTL-kompatibel) 461, 494 APPC (Advanced Program to Pro- AustastanschluB 369
AD-Wandler 375, 383, 524 gram Communication)-Schnitt- Avalanche-Diode 142
-, integrierender 383, 389 stelle 591 AWL (AnweisungsHste) 557
-, sukzessive Approximation 383, AQL (Acceptance Quality Level) 92
386 AquipotentiaUinie 5 B
addierender Verstarker 346, 347 aquivalente Umwandlung 43
Additionsschaltung 358 aquivalenter Zweipol 21 Backbone-Netz 610
ADLCP (Advanced Data Link Con- Arbeit, elektrische 6 Backward Anotation 488
trol Protocol)-Protokoll 589 Arbeitsbereich, sicherer 630 Backwarddiode 155
Admittanz 38 -, Transistor 167 Band 130
adressierter Befehl 575 Arbeitspunkt, Transistor 177 Band-Gap-Referenzelement 369,
AdreBbus 480 Arbeitswiderstand 332 371, 386
AdreBdekodierung 471 Arbitrator-Logik 475 Bandbegrenzung 494
AdreBraum, Giga-Byte- 406 Argument 412 Bandbreite 191, 333, 364, 494
Akkumulator 612, 615 Arithmetikbaustein 446 -, Leistungs- 327, 330
aktiver Filter 360 ARPANET 585 Bandbreite-Produkt, Verstarkungs-
aktives Bauelement 156 Array, Gate- 446 327
akustischer Langensensor 306 -, Standard-Zellen 521, 523, 547 Bandgap 57, 371
Akzeptor 62 -, Sensor- 312 Bandluckenspannung 371, 374
Al-Elko 118 ASCII-Kode 416, 418, 568 BandpaB 356, 365
Algebra, Boolesche 426, 429 ASIC (Application Specific Integrated Bandsperre 368, 369
-, Schalt- 426, 429 Circuit) 521 Basis, Zahlensystem 404
Aliasing-Effekt 50 -, analoger 524 Basisbandnetz 587, 591
alphanumerische Anzeige 265 -, digitaler 522 BasisgroBe 4
ALU (Arithmetic Logic Unit) -, standardisierter 550 Basisschaltung, Transistor 183
479, 477 Assoziativgesetz 429 Basisspannung 332
Amplitude, normierte 497 ASSP (Application Specific Standard Batterie 612, 614, 615, 616, 642
Amplituden-Spektrum 45 Product) 527, 550 batteriegepufferter Speicher 475
662 20 Sachwortverzeichnis

Bauelement 87 Bildzeichen 8
-, aktives 156 binare Gleitkommazahl, Normalisie-
-, digitales 446 rung 412 C (Computer)-Tools 486, 487
-, passives 87 - Verkniipfung 426 CAD (Computer Aided Design) 74,
-, Wechselstromkreis 39 binares Element 426 486, 488, 531
Baugruppe 87 - Zahlensystem 404 CAE (Computer Aided Engineering)
-, Ausgabe- 553 Bindung, metallische 3 486, 488
-, Eingabe- 553 BioFET 318 CAL (Computer Aided Learning)
-, Arithmetik- 446 Bit 471 489
-, Daten- 566 -, hochstwertiges 377, 378, 386 CAM (Computer Aided Manu-
-, Funktions- 566 -, Nachkomma- 412 facturing) 74, 489
-, Organisations- 565 -, niedrigstwertiges 377, 378, 386 Cambridge-Ring 597
-, periphere 446 -, Nutz- 424 CAP (Computer Aided Planning)
-, Programm- 565 -, Paritats- 418,421 489
-, Software- 565 -,Pruf- 421 CAQ (Computer Aided Quality
Bauteil 87 -, Start- 570 Assurance) 74, 489
-, LSI (Large Scale Integration)- - Stop- 570 CAT (Computer Aided Testing) 489
446 -, Vorkomma- 412 catch diode 626
-, MSI (Medium Scale Integration)- -, Vorzeichen- 410 CCD (Charge Coupled Device) 286,
446 BITNET 585 313
-, programmierbares logisches 527 bitorientiertes Ubertragungsverfah- CCITT-Kode 568
-, redundantes 506 ren 588 Centronics-Schnittstelle 571
-, SSI (Small Scale Integration)- 446 Bitubertragungsschicht 589 Charakteristik, Transfer- 456, 459
-, ULSI (Ultra Very Large Scale Inte- Blech 130 Chem-FET 313,317
gration)- 446 BlindgroBe 37 chemischer Sensor 313, 317
-, Umsetz- 449 Block 571 Chip 446
-, VLSI (Very Large Scale Integra- Blockierbereich 232 -, Select-Signal 444
tion)- 446, 541 Blockschaltbild 384, 385, 397 -, Widerstand 323
BCD-Gray-Kode-Wandler 443 Blocksymbole 557 Chopper 611
-, Zahlensystem 409 Bode-Diagramm 335, 395, 396, 397, CIM (Computer Integrated Manufac-
-, Zahler 386 399 toring) 74, 319, 486, 489, 602
Bedingung, Stabilitats- 398, 400 Boltzmann-Faktor 68 CISC (Complex Instruction Set Com-
-, Weiterschalt- 563 Boolesche Algebra 426, 429, 529 puter) 479
-, adressierte 575 Boot-PROM 544 CLB (Configurable Logic Block)
-, Universal- 575 Bootstrapschaltung, Transistor 182 545
Befehls-Warteschlange 479 Brandschutz 642 CMOS (Complementary MOS) 446,
Befehlsdekoder 479 Brechungsfaktor 496 448, 455
Befehlsliste 556 Breitbandnetz 587, 589 CMOS-Technik 377
Befehlssatz 555 Bridge 607 CMRR (Common Mode Rejection
Befehlsvorrat 554, 555 broadcast 569 Ratio) 332, 334
Belastbarkeit 96 Brucke, Wheatstonesche 343 Compiler, Silicon- 548
belastete offene Leitung 503 Briickenschaltung 28 CPU (Central Processing Unit) 479
Belastung, Eingangs- 449 Briickenverstarker 342 Crestfaktor 36
Belegung, Anschlufi- 558 BSC (Binary Synchronous Control)- CS (Chip Select) 379
BELWiJ (Baden-Wiirttemberg Protokoll 588 CSMA/CD (Carrier Sense Multiple
Extended LAN) 586 buck converter 626 Access)-Verfahren 595
Bereich, Zieh- 513 Buffer, Ausgangs- 456 CTS (Clear To Send) 576, 579
Bereitschaft, Empfangs- 574 buried holes 72 CVD (Chemical Vapour Deposition)-
-, Sende- 574 buried layer 322 Technik 314
beriihrungsloser Abstandsmesser buried-Zener-Diode 371
305 Bus 568,581 D
Beschaltung, Transistor 158 -,AdreB- 480
Beschleunigungs-Sensor 312 -, Daten- 377, 480 D-Flip-Flop 446, 528
Bestrahlungsstarke 241 -,ECB- 581 DA-Wandler mit Mikrorechner-
Bestiickung 78 -Feld- 581 Schnittstelle 375, 379, 524
Betrieb, Duplex- 570 -, lEC- 573, 581 -, multiplizierender 376
-, Simplex- 569 -, Komponenten- 581 -, vier-Quadranten multiplizierender
Betriebsbereich, Transistor 158 - P C - 581 378
Betriebsspannungsunterdriickung -, Peripherie- 581 Dampfung 46, 363, 364
327 -, ProzeB- 581 Dampfungsfaktor 362
Beweglichkeit 62 -, Rechner- 581 Dampfungsgrad 402
Bias-Spannung 463 -, serieller 582 Darlington-Fototransistor 285
bidirektionaler Ausgang 531 -, Schnittstelle 480 - Schaltung 189
- Eingang 542 -, Zugriffskontrolle 596 - Transistor 329, 332
Bildauswertung 313 Busy 572 Darstellung, Programm- 555
Bildsensor 286 Byte 405, 471 -, Vorzeichen-Betrags- 410
20 Sachwortverzeichnis 663

Darstellungsschicht 590, 592, 690 Differenzierglied 396 DNA (Digital Network Architecture)-
Datei, JEDEC- 533 -, digitales 494 Modell 586, 593
Daten-Bus 480 Differenzeingangsspannung 326 DNS (Domain Name System) 585
-, Schnittstelle 486 Differenzverstarker 185, 189, 328, dominierender Pol 401
Datenbaustein 566 329, 330, 336 Donator 60, 67
Datenblatt 94 -, FET 205 Doppel-T-Filter 368
-, Transistor 168 Diffusionskonstante 65 Doppel-Wellenloten 79
Datenbus 377 Diffusionslange 66 Doppelbrechung, Spannungs- 316
Datenendeinrichtung 568 Diffusionsspannung 68, 373 Doppelfehler 423
Datenferniibertragung 568 Diffusionsstrom 66, 70 Doppelgate-MOSFET 206
Datenformat 570 Digital-Analog-Wandler 320, 375 doppelte Negierung 429
-, asynchrones 570, 571 digitale Schaltung, Entwicklung 486 Doppeltransistor 352, 354
-, synchrones 570 digitale Schaltungstechnik 404 Doppelverstarker 330
Datenkanal 488 digitale Schnittstelle 379, 406 Dotieren 312, 321, 322
Datenkompression 592 digitaler ASIC 522 Double Word 405
Datenleitung 472, 570, 571, 573 - Funktionsgenerator 380, 381 DOWN-Ausgang 515, 517
Dateniibertragungseinrichtung 568 - Gate-Array 540 DPLL (Digital Phase Locked Loop)
Datenwandler 379 - PLL 511 511, 599
DATEX-L,-P 580, 585 digitales Bauelement 446 Drahtwiderstand 97
Dauerbetrieb, Belastbarkeit 96 -, Gehauseform 463 Drain-Strom 457
Dauerspannung, maximale 97 -, Schaltgeschwindigkeit 493 Drainschaltung, FET 203
DAV (Data Valid) 576 -, Schaltzeichen 463 Drehzelle 261
DB (Datenbaustein) 566 digitales Differenzierglied 494 Dreidraht-Handshake 571
DCD (Data Channel Received) 576, digitales Netzwerk 603 Driftgeschwindigkeit, Sattigung 63
579 Digitalfilter 392 Drossel, Sattigungs- 630
DCE (Data Communication Equip- Digitalmultimeter 386 Drosselstrom 626, 627, 629, 630,
ment) 568 Digitalwort 375 632, 634
DDCMP (Digital Data Communica- Dimensionierung 346 Druck-Sensor 308, 312
tion Message Protocol)-Protokoll Diode 134, 323, 351 Drucker-Schnittstelle 571
593 -, Avalanche- 142 DS (Datagram Service)-Dienst 588
De Morgan, Gesetz 428, 429 -, Backward- 155 DSR (Data Set Ready) 576, 579
DECnet 593 -, buried-Zener- 371 DTE (Data Terminal Equipment)
DEE (Datenendeinrichtung) 568, -, Foto- 147, 271 568
589 -, Foto-, Lawinen- 276 DTR (Data Terminal Ready) 576,
Defektelektronen 57 -, Foto-, pin- 276 579
Deghtcher 380 -, Freilauf- 626 Dual-Gate-MOSFET 206
DehnmeBstreifen (DMS) 305, 308, -, Gleichrichter- 139 Dual-Inline-Gehause 476
314 -, Kapazitats- 149 Dual-Port-RAM 475
DEKITZ 610 -, Laser- 253 dual-slope-technique 385
Dekoder 446 -, Leistungs- 141 duales Zahlensystem 405
-AdreB- 471 -, Leucht- 260 DUE (Daten-Ubertragungseinrich-
-Befehls- 479 -, Lumineszenz- 245 tung) 568
Delogarithmierschaltung 339, 353, -, Pin- 150 Diinnschicht-DMS 308
354 -, Schalt- 135 Diinnschicht-Technologie 83, 314
Delon-Schaltung 619 -, schnelle Gleichrichter- 143 Duplex-Betrieb 570
Delta-Sigma-Wandler 383, 392 -, Schottky- 138 Durchbruchbereich, Feldeffekttransi-
Derating 94 -, Schottky-Leistungs- 144 stor 196
Design, GHtch-Free- 506 -, Schutz- 460 Durchbruchspannung 70, 145
Detailspezifikation 486 -, Step-Recovery- 143, 153 DurchfluBwandler 611, 626, 628,
Detektor, Halbleiter- 266 -, Triac-Trigger- 146 629, 631, 632, 637
Dezimalsystem 404 -, Tunnel- 154 Durchkontaktierung 72
DEN (Deutsches Forschungsnetz) -, Vierschicht- 232 DurchlaBbereich 366
586 -, Z- 145, 620 DurchlaBkennUnie 511
DFU (Datenferniibertragung) 568 Diodenkennlinie 71 DurchlaBkurve 368
Diac-Triggerdiode 146 DiodenstoBstrom 141 Durchlaufzeit 447, 459, 545
Diagonalspannung 343 Disjunktion 427, 558 dynamisch riickgekoppelter Opera-
Diagramm, Bode- 335 Dispenser 79 tionsverstarker 355
-, Zustands- 531 Display 259 dynamischer Innenwiderstand 341
-, Lattice- 498, 499 -, Plasma- 260
Dickschicht-Technologie 80, 315 -, Vakuum-Fluoreszenz- 260
Dickschichtsensor 317 Distanz, Hamming- 421, 422, 423 E
Dielektrikum 109 Distributivgesetz 429
Differentialgleichung 395, 396 DMA (Direct Memory Access) 479, EBCDI (Extended Binary Code Deci-
differentielle Stromverstarkung 161 482 mal Interchange)-Kode 568
Differenz-Transistor 462 DMS (DehnmeBstreifen) 305, 308, ECB (Einplatinen-Computerbus)-
Differenzierer 356, 358 314 Bus 581
664 20 Sachwortverzeichnis

ECL (Emitter-Coupled Logic) 446, Elektrolyt-Kondensator 118 Fan-In 449


448, 461, 493 elektromagnetische Vertraglichkeit Fan-Out 449, 462
EEPLD (Electrical Erasable Program- 489, 613, 642 Faraday-Effekt 316
mable Logic Device) 521, 523, Elektrometerverstarker 342, 385 Farbkodierung 95
527 Elektron, heifies 473, 523 Faser-Faser-Kopplung 316
EEPROM (Electrically Erasable Pro- Elektronenstrahl-Direkt-Schreib- faseroptischer Sensor 316
grammable Read Only Memory) verfahren 549, 550 FAST (Fairchild-Advanced-Schottky
474 Elektronenstrahl-Lithografie 324 TTL) 446,448,454
Effekt, elektrochromer 316 elektronisches Potentiometer 377 Fast Recovery Rectifiers 143
-, elektrooptischer 316 elektrooptischer Effekt 316 Fax Mail 606
-, Faraday- 316 elektrostatische Aufladung 89, 216 FB (Funkdonsbaustein) 566
- Hall- 306, 312 Element, binares 426 FDDI (Fiber Distributed Data Inter-
-, magnetoooptischer 316 -, Verkniipfungs- 529 face)-Netz 597, 600
-, Micro bending- 316 Elementarladung 1 fehlender Kode 383
-, piezoelektrischer 308 Elementhalbleiter 51 Fehler, Doppel- 423
-, thermoelektrischer 309 Emitterfolger 181, 462, 619 -, Offset- 382
effektive Masse 58 Emitterschaltung, Transistor 173 -, Quantisierungs- 382
Effektivwert 35, 141, 616 Empfanger, Halbleiter 266 -, Stack-At- 539
Eigenleitung 56, 57 Empfangsbereitschaft 576 -, Verstarkungs- 382
Eigenleitungsdichte 58, 60 Empfindlichkeit, Halbleiter- Detek- fehlererkennender Kode 416, 421
Ein-Bit-Kode 424 toren 267 fehlerkorrigierender Kode 421, 422
Einer-Komplement 378, 410, 411 EMV (elektromagnetische Vertrag- Fehlerverstarker 370
Einfachmitkopplung 363 lichkeit) 489, 613, 642 Fehlspannung, Eingangs- 325, 329,
Eingabebaugruppe 553 Endesignal 576 333
Eingang, bidirektionaler 542 Endstufentransistor 330 Feinstleiter 314
Eingangsbelastung 449 Energieband 55 Feinwert 412
- -fehlspannung 325, 329, 333 Energieliicke 56 Feldbus 581, 600
- -filter 635 Energiequelle 612 Feldeffekttransistor, s. FET
- -kapazitat 326, 503 ENFET (Enzym-FET) 318 Feldemission 145
- -nullspannung 326 Entflechtung, Leiterplatten- 487, Feldplatte 106
—nullstrom 326 488 Feldstarke, elektrische 4
- -schaltung, PAL 529 Entwarmung 638 Fermi-Energie 57
- -signal 552 Entwicklung, Schaltplan- 432, 487 Fernbedienung 613
—spannung 326 Entwicklungsphase 486 Fernschreibe-Kode 416, 417
- -stufe 328 Entwurf, storfreier 506 Ferrimagnetismus 129
—widerstand 326 EOI (End Or Identify) 574 Ferromagnetismus 130
—widerstand, HF-Tansistor 221 Epitaxie 322 Festkommazahl 411
- -widerstand, MOSFET 197 EPLD (Erasable Programmable Logic Festspannungsregler 623
—widerstand. Transistor 159 Device) 521, 522, 523, 527 Festwiderstand, linearer 95
Einheit, logische 592 EPROM (Erasable Programmable FET 194
-, physikalische 592 Read Only Memory) 474 -, Abschniirbereich 196
Einkoppelfaktor 506 Erholzeit, Sperr- 626, 628 -, biologischer 318
Einkoppelwiderstand 506 -, Vorwarts- 144 -Chem- 313,317
Einkopplung 500 Erregung, Selbst- 334, 337, 367 -, Differenzverstarker 205
Einlauffaktor 497 Ersatzschaltbild, Induktivitat 127 -, Drainschaltung 203
Einplatinen-Rechner 481 -, Transistor 159 -, Durchbruchbereich 196
Einrichtung, Programmier- 565 Ersatzspannungsquelle 20 -, Foto- 284
Einschaltverzogerung (Diode) 138 Ersatzstromquelle 20 -, Gateschaltung 203
Einschicht-Kondensator 121 Erweiterungszeichen, Kode- 571 -, Gegenkopplung 204
einschrittiger Kode 417 ESD (Electrostatic Discharge) -, Grenzwert 201
Einschwingverhalten 401 89, 216 -, Grundschaltung 203
Einstein-Relation 66 ESR (Equivalent Series Resistor) -, ionensensitiver 318
einstellbarer Kondensator 124 118 -, MOS- 196
einstellbarer Widerstand 107 ETX/ACK (End of Text/Acknowl- -, Schaltung 202
Eintakt-FluBwandler 628 edge)-Protokoll 577 -, Sourceschaltung 203
Einweg-Gleichrichter 339, 349, 617 EUNET (European Network) 585 -, Spannungsruckwirkung 199
elektrische Arbeit 6 Exemplarstreuung 347 -, Sperrschicht 194
- Feldstarke 4 Exklusive ODER-Verkniipfung -, steuerbarer Spannungsteiler 205
- GroBen 86 (EXOR) 428, 433, 512 -, Triodenbereich 196
- Leistung 6 Exponent 412 -, r-Parameter 199
- Leitfahigkeit 6 Exponentialverstarker 353 Feuchte-Sensor 314
elektrischer Strom 3 FF-Toggle-Frequenz 447
elektrisches Netz 9, 22 Ficksches Gesetz 66
elektrochemischer Sensor 317 Filter, Digital- 392
elektrochromer Effekt 316 Faktor, Einkoppel- 506 -, Doppel-T- 368
Elektrolumineszenz 316 FamiHe, Logik- 447 -, Eingangs- 635
20 Sachwortverzeichnis 665

Filter Frequenzvervielfachung 153 Geratesteuerzeichen 571


-, Nachlauf- 509 Friihausfall 90 Gesamtausfall 91
-, Notch- 368 FSM (Foreward Surge Maximum) Geschwindigkeit, Ubertragungs- 577
-, selektives 365 141 Gesetz, Absorptions- 429
-, TiefpaB- 509 FTAM (File Transfer Access and -, Assoziativ- 429
Filterschaltung 360 Manipulation)-Protokoll 602 -, De Morgan- 428, 429
-, aktive 355 Fuhlerleitung 636 -, Distributiv- 429
Flachbandkabel 502 Full-Custom-IC 521, 524 -, Kommutativ- 429
Flag 479 Funkentstorung 611, 640 getaktete Stromversorgung 625
Flanke, Abfall- 493 Funktion, disjunktive 558 gezielte Korrektur 393
-, Anstiegs- 493 Funktion, konjunktive 558 Gibbssches Phanomen 495
-, Signal- 560 -, NIGHT- 426 Giga-Byte-AdreBraum 406
flankengetriggertes System 506 -,ODER- 427,446 GIPS (Giga Instructions Per Se-
Flankenmerker 561 -, Speicher- 560 cond) 479
Flankensteilheit 360, 494 -, UND- 426, 523 Gleichlauf 334
Flash-Converter 389 -, Verkniipfungs- 557 Gleichrichter, Einweg- 339, 349, 617
Flat-Pack-Gehause 470 Funktionsbaustein 566 -, Spitzenwert- 339, 351
Flip-Flop, Master-Slave- 513 Funktionsbereich 326 -, Synchron- 625
-,RS- 515,560 Funktionsgenerator 52, 545 -,Vollweg- 618
-, D- 446 -, digitaler 380, 381 -, Zweiweg- 339, 349, 350
-, Speicher 471 Funktionsglied, Zahler- 562 Gleichrichterdiode 139
Flop, Schaltzeichen 466 Funktionsplan (FUP) 557, 562 -, schnelle 143
FLOPS (Floatingpoint Operation Per Fuse 529 Gleichrichterschaltung 617
Second) 479 Fuse, Anti- 546 Gleichrichtwert 36
fliichtiger Speicher 470 Fuse-Map 533, 536, 537 Gleichstromriickfiihrung 357
Fluoreszenz 318 Gleichstromverstarkung, Transistor
Flussigkristallanzeige 261, 264 159, 161
FluBwandler 611,626,628,637 Gleichtaktunterdriickung 187, 327,
-, Eintakt- 628, 630, 631 GAL (Generic Array Logic) 521, 332, 334
-, Zwei-Transistor- 630 523, 528, 530 Gleitkommazahl 411
fold back 621 GAN (Global Area Network) 584 Gleitkommazahl, binare, Normalisie-
Folien-Kondensator 113 Gaskonzentrationsmessung 313, 314 rung 412
Foreward Surge Maximum 141 Gassensor 317 GHed, Differenzier- 396
Formatsteuerzeichen 571 Gate-Array 446, 521, 523 -, Integrier- 396
Formfaktor 36 -, digitales 540 -, Proportional- 396
Forward Anotation 488 -, Kanal- 540 -, Verzogerungs- 396
Foto-Sensor 313, 315 -, kanalloses 540, 539 GHmm-Aussetzspannung 641
Fotodiode 147, 271 -, programmierbares 540, 543 Ghtch 380, 507, 508
-, Lawinen- 276 Gateschaltung, FET 203 GUtch-Free-Design 492, 506
-, pin- 276 Gateway 588, 606, 607 GHxon-Kode 417
Fotoeffekt 266 Gatter 428, 446 Graetz-Schaltung 619
Fotolack 322 Gatterfunktion, Schaltzeichen 464, grafisches Verfahren, Spannungs-
Fotolithographie 72 465 ermittlung 16
Fotomaske 321 Gatterlaufzeit 493 -, Stromermittlung 16
fotometrische GroBe 241 Gatterschaltzeit 540 Gray-Kode 307, 390, 415
Fotothyristor 286 Geber, Zeit- 561 Grenzfall, aperiodischer 337, 402
Fototransistor 284 gedruckte Schaltung 72 Grenzfrequenz 360, 362, 366, 399,
Fotowiderstand 315 gegengekoppelter Verstarker 177, 511
~, Halbleiter-Detektoren 268 215 -, Filter 360
Fourier-Analyse 45, 312 Gegenkopplung 395 -, LED 249
FPAL (Field Programmable Array -, FET 204,215 Grenzlastintegral 142
Logic) 528 -, Mehrfach- 363, 364 Grenzwert, FET 201
FPGA (Field Programmable Gate- -, Spannungs- 336 Grobwert 412
Array) 521, 544 Gegentakt-Storsignal 637 GroBe, elektrische 86
Freilaufdiode 626 Gegentaktwandler 631, 632 GroBe, fotometrische 241
Freiwerdezeit 235 Gehause, Dual-Inline- 476 GroBe, physikahsche 2
Frequenz, Abtast- 389 -, Flat-Pack- 470 -, radiometrische 241
-, Grenz- 360, 362, 366 -, LLC (Leadless Chip Carrier)- 476 Gruppenkode 424
-,Referenz- 517 -, PGA (Pin-Grid-Array)- 470 GTO (Gate Turn Off)-Thyristor
frequenzabhangiger Verstarkungsab- -, PLCC (Plastic Leaded Chip 233, 238
fall 334 Carrier)- 470 Gute 128, 366
Frequenzkompensation, Operations- Gehauseform, digitales Bauelement
verstarker 336 463 H
Frequenzmultiplex 589 Generator, Funktions- 545
frequenzsensitiv 513, 517, 516, 516 Gerat 87 /z-Parameter, Transistor 163
Frequenzverhalten 399 -, Programmier- 565 H,-Konzentration 313
666 20 Sachwortverzeichnis

Halbbriicke 618 Impedanz 38 Kanal-Gate-Array 540


Halbbyte 407,409 Impedanzwandler 342, 369 kanalloses Gate-Array 540, 539
Halbleiter 51 Impulsbelastbarkeit 96 Kapazitat 108
-, Empfanger 266 Impulsbild 384, 385 -, Eingangs- 326, 503
-, Laser 253 Impulsgenerator 562 -, Leitungs- 495
-,Physik 51 Impulsmerker 561 -, Miller- 329
-, Sender 244 Index, Millerscher 55 -, Streu- 458
-, Strahlungsemission 244 induktive Langenmessung 304 -, Wicklungs- 132
-, Typenschliissel 168 Induktivitat 125 Kapazitatsdiode 149
Halbschwingungsmittelwert 35 Infrarot-Strahlungsthermometer 311 kapazitive Langenmessung 304
Hall-Sensor 306 Init 573 kapazitiver Positionssensor 315
Hamming-Kode 416 Injektionslaser 253 Karnaugh-Veitch 434
Hammingdistanz 421, 422, 423 Innenwiderstand, dynamischer 341 Kaskadierung 620
Handshake 571, 573 Instrumentenverstarker 343 Kaskodeschaltung 331, 333
Hanna-Kurve 628 Integra tionszeit 356 -, Transistor 192
Hardware-Handshake 571, 573 Integrator 356, 357 Kathodenzerstaubungstechnik 314
HBE (High Byte Enable) 379 -, Miller- 336 Kennhnie, Dioden- 71
HCMOS (High-Speed-CMOS) 446, integrierender AD-Wandler 383, 384 -, DurchlaB- 507
448, 458 Integrierglied 396, 517 -, rucklaufige 621
HCT (TTL-kompatibler HC-Bau- Integrierte Schaltung 320 -, Strom-Spannungs- 69
stein) 446, 448 -, analoge Schaltung 320 -, Ubertragungs- 456
HDLC (High Level Data Link integrierter Spannungsregler 370 Keramik-Kondensator 121
Protocol)-Protokoll 588, 602 -, Kondensator 324 Kernform 127, 616, 626
heiBes Elektron 473, 523 -, Schaltkreis 446 Kirchhoffsche Kegel 9
HeiBleiter 101, 309 -, Spannungsregler 369, 370, 622 Klammeroperation 558
Herstellgrenzqualitat 91 -, Widerstand 323 Klassifikation 92
hexadezimales Zahlensystem 406 intelligenter Sensor 319 KHrrfaktor 213
HF, komplexe GroBen 226 Intermodulation 213 Knickpunkt 347
-, Anpassung 218 Internet 585 Knickspannung 70
~, Leistungsanpassung 218 Interruptleitung 582 Knopfzelle 615
-, Reflexion 218 intrinsic carrier concentration 58 Knotenspannungsanalyse 19, 26
-, Transistor, Ausgangswiderstand Intrinsic-Laufzeit 503, 505 Knotenrechner 484
221 intrinsische Tragerdichte 373 Knotenregel 9, 340
-, Transistor, Eingangswiderstand Inverse 411 Koaxial-Kabel 502
221 Inverter 446, 456, 493 Kode 415
-, Verhalten 97 invertierender addierender Verstar- -, ASCII- 416,418,568
-, Verstarker 76, 218 ker 347 -, BCD- 409
-, Wellenwiderstand 220 invertierender Spannungsverstarker -, CCITT- 568
hierarchische Gliederung 608 340, 341 -, EBCDI- 568
Hilfskanalleitung 580 lonenimplantation 321, 322, 324, -, Ein-Bit- 424
Hilfsspannung 463 458 -, einschrittiger 417
Hochfrequenz, s. HF lonenkonzentrations-Messung 314 -, fehlender 383
Hochgeschwindigkeits-Netz 610 ionensenstiver FET 318 -, fehlererkennender 416, 421
HochpaB 356,364 lonisationsenergie 59 -, fehlerkorrigierender 421,422
Hochsetzteller 633 ISDN (Integrated Services Network) -, Fernschreibe- 416, 417
hochstwertiges Bit 377, 378, 386 580, 585, 603 -, Glixon- 417
Hochvakuumverdampfungs-Technik ISFET (ionensensitiver FET) 318 - Gray- 307, 390
314 Isolationsforderung 641 -, Gruppen- 424
Hybrid-Schaltkreis 446 Isolationswiderstand 112 -, Hamming- 416
-, Speicher 476 Istwert 394 -, Maschinen- 554
-, Technologie 86, 379 -, Mikro- 479
Hysterese 128, 344, 345, 370 -, Morse- 416
-, nicht fehlererkennender 416
I JEDEC-Datei 533, 538 -, nicht redundanter 416
JFET (Junction-FET) 194 -, redundanter 416, 421
I/O (Input/Output) 479 JK-Flip-Flop 515 -, Thermometer- 389
-,Profil 549 Junction-FET 157 -, Walking- 422
IC (Integrated Circuit) 446 -, Zwei-aus-fiinf- 422
idealer Operationsverstarker 325 Kodeerweiterungszeichen 573
lEC (International Electrotechnical Kodenummer 474
Commission)-Bus 573, 581 A:-Faktor 495 Kodesicherung 421
IFC (Interface Clear) 574 Kabel, Flachband- 502 Kodierung 415, 568
IGFET (Insulated Gate-FET) 196 -, Koaxial- 502 - F a r b - 95
IGBT (Insulated Gate Bipolar- -, Lange 576 -, NRZ- 599
Transistor) 233, 239 Kaltleiter 16, 104, 309 -, Um- 592
Imaginarteil 32 Kanal, Kommunikations- 592 Kohlenmonoxid-Sensor 317
20 Sachwortverzeichnis 667

Kollektor 328 Korrekturradius 423, 424 Leistungsdiode, Schottky- 144


KoUektorschaltung, Transistor 181, Korrekturraum 423, 424 Leistungstransistor, MOSFET- 210
330 Kraft-Sensor 308, 312 Leiternetzwerk, R-2R- 375
Kollektorstrom 161 Kreisstromverfahren 25 Leiterplatte 72
kollisionsbehaftetes Zugriffsverfah- Kriechfall 402 -, Entflechtung 487, 488
ren 592 kritische Riickkopplung 336 -, Layout 488
koUisionsfreies Zugriffsverfahren kritischer Widerstandswert 98 -, Multilayer 72, 502, 505
594 KurzschluBdauer 326 Leitfahigkeit, elektrische 6, 63
kombinatorischer Ausgang 531 KurzschluBstrom, Ausgangs- 327, Leitung, abgeschlossene 495
Kommastelle, Nach- 411 330 -, Daten- 472, 572, 573, 574
-,Vor- 411 KV (Karnaugh-Veitch) 434 -, Ersatzschaltbild 495
Kommazahl, Fest- 411 -, Handshake- 571
-, Gleit- 411 -, Hilfskanal- 580
Kommunikationskanal 592 -, Interrupt- 580
Kommunikationssteuerungsschicht Ladung 1, 6 -, Melde- 573, 575
591, 593 ladungsgekoppeltes Schaltelement -offene 501,503
Kommutativgesetz 429 (CCD) 313 -, Riicksetz- 573
Komparator 369, 384 Ladungspumpe 620 -, Steuer- 572, 573, 574, 575
Kompensationskondensator 329 Ladungstragerdichte 57, 60 -, Stromversorgungs- 573, 575
Komplement, Einer- 378, 410, 411 Lagertemperatur 326 -, verdrillte 502
-, Zweier- 409, 410 Lambda-Sonde 317 -,Wort- 472
komplexe GroBe, HF 226 Lambert-Strahler 247 Leitungsband 56
- Rechnung 30, 36 LAN (Local Area Network) 584 Leitungsbelag 495
komplexer Leitwert 37 -, Planung 608 Leitungskapazitat 495
- Widerstand 37 landesweites Netz (WAN) 585 Leitungslange, offene 506
Komponentenbus 581 Lange, Kabel- 576 Leitungsreflexion 496, 497
Kompression, Daten- 592 Langenmessung, induktive 304 Leitwert 5
Kondensator 108, 323 -, kapazitive 304 -, komplexer 37
-,Abblock- 624 Langenmessung, Wirbelstrom- 304 Lernprogramm 489
-, Einschicht- 121 Langensensor, akustischer 306 Leuchtdiode 260
-, einstellbarer 124 -, optischer 306 Lichtunterbrecher 316
-, Elektrolyt- 118 Langsregler 370, 611, 617, 620, 622 Lichtwellenleiter (LWL) 293, 316,
-Folien- 113 Langswiderstand 376, 506 609
-, Keramik- 121 LAP (Line Access Protocol)-Proto- Linear-Output-Hall-Effect-Transducer
-, Kompensations- 329 koll 588, 604 (LOHET) 306
-, Leistungselektronik 116 Laplace-Transformation 395, 401, lineares Gleichungssystem, Losung
-, MK 116 511 22
-,MKP 117 Laser, Halbleiter- 253 lineare Uberlagerung 21
-,MKV 117 -, Injektions- 253 linearer Festwiderstand 95
- , M P 116 Laserdiode 253 linearer PLL 510
-, selbstheilender 116 Laserloten 80 linearer Regler 620
-, Sperrschicht- 124 Laserstruktur 254 linearer Verstarker 212
Konduktanz 38 Latch-Register 379, 515 Hnearer Zweipol 19
konfigurierbarer Logikblock 545 Lattice-Diagramm 498, 499 Lineraritatsfehler, Datenwandler 382
Konjunktion 426 Laufzeit 503 Liste, Befehls- 556
konjunktive Funktion 558 -, Gatter- 493 Lithographic, Elektronenstrahl- 324
Konstantstromquelle 339, 348 -, Intrinsic- 503, 505 LCC (Leadless Chip Carrier)-
Kontaktlocher 72 Laufzeitunterschiede 507 Gehause 476
Kontaktplan 557 Lawinenfotodiode 276 LLC (Logic Link Control)-Teilebene
Kontrolle, Buszugriffs- 594 Layout, Leiterplatte 488 588, 593
Kontrollstellen 424 LCA (Logic Cell Array) 521, 540, Loch 57
Konverter 595, 612 543 Locherstrom 70
Konzentration, Ladungstrager- 57 LCD (Liquid Crystal Display) 261 Logarithmierschaltung 339, 351
Konzentrationsmessung 313 LDAC (Load DA-Converter) 379 Logik, Arbitrator- 475
Konzept, QPSX/DQDB (Queued LDR (Light Dependent Resistance), -, negative 428
Packet and Synchronous Switch/ Halbleiter-Detektoren 268 -, Steuer- 472, 476
Distributed Queue)- 599 Least Significant Bit (LSB) 377, Logikanalysator 54
KOP (Kontaktplan) 557 378, 386, 405 Logikblock, konfigurierbarer 545
Koppelfaktor 214 Lebensdauer, durchschnittliche 91 Logikfamilie 447, 507
Kopplung, Einfachmit- 363 LED (Light Emitting Diode) 245 logische Aussage 426
-, Faser-Faser 316 Leistung, elektrische 6 logische Einheit 592
-, Netz- 608 Leistungs-Operationsverstarker 3 34 logisches Bauteil, programmierbares
Korrektur, gezielte 393 Leistungsanpassung, HF- 218 527
-, Nullpunkts- 386 Leistungsaufnahme, Ruhe- 447 logisches Netzwerk 521
KorrekturanschluB 382 Leistungsbandbreite 327, 330 LOHET (Linear-Output-Hall-Effect-
KorrekturgroBe, Regelung 398 Leistungsdiode 141 Transducer) 306
668 20 Sachwortverzeichnis

lokales Netz 585, 584, 608 mehrstufiger Verstarker, Rauschen -, Bauelement 323
Long Word 405 225 -, Feldeffekttransistor, s. MOSFET
Look-Up-Tabelle 545, 546 Meldeleitung 573, 575 -, Transistor 323
Lorentz-Kraft 312 Meldung, Ruck- 488 MOSFET 196
Loten, Laser- 80 -, Vorwarts- 488 -, Doppelgate- 206
-, Stempel- 80 Merker 554 -, Dual-Gate 206
-,Wellen- 79 -, Flanken- 561 -, Eingangswiderstand 197
LSB (Least Significant Bit) 377, -, Impuls- 561 -, Leistungstransistoren 210
378, 386, 405 -, Schritt- 565 -, Umschalter 377
LSI (Large Scale Integration)-Bau- MesaprozeB 149 Most Significant Bit (MSB) 377,
teil 446 Messung, Gaskonzentration 313 378, 386, 405
LSTTL (Low-Power-Schottky-TTL) -, lonenkonzentration 314 MP-Kondensator 116
446, 448, 452 MeBbereichserweiterung 13 MSI (Medium Scale Integration)-
Liickgrenze 627 MeBgerat 52 Bauteil 446
Luftspule 127 MeBprinzip 298, 299 MSPS (Mega Samples Per Second)
Lumineszenz, Elektro- 316 MeBverstarker 343 391
-, strahlungsinduzierte 316 MeBwertaufnehmer 298 MST (Multiplexed Slotted and Token
-, Thermo- 316 Metallglasurwiderstand 100 Ring) 600
-,Tribo- 316 metallische Bindung 3 MTBF (Mean Time Between
-, Diode 245 Metallisierung 322 Failure) 539, 547
LWL 293, 316, 609 MHS (Message Handhng System)- Multi-Chip-Modul 314
Protokoll 600 multicast 573
MIC (Medium Interface Cable)- Multiemitter-Transistor 450
M Schnittstelle 595 Multilayer 72, 504
Microbending-Effekt 316 Multimeter 52
MAC (Medium Access Control)- Microstrip 502, 504 -, Digital- 386
Teilebene 588, 595 Mikrocomputer, Single-Chip- 480 Multiplex, Frequenz- 589
magnetfeldabhangiger Widerstand Mikrokode 479 -, Zeit- 589, 599
106 Mikroprozessor 479 Multiplexer 446
magnetischer Kreis 126 Mikrorechner 478 multiplizierender DA-Wandler 376
magnetischer Positionssensor 307 Mikrorechner-Schnittstelle 379, 387 Multiplizierer 353
Magnetometer 307 Miller-Integrator 336
magnetoooptischer EfTekt 316 Miller-Kapazitat 181, 329 N
magnetoresistiver Sensor 312 Millerscher Index 55
Magneto widerstand 312 MILNET (Military Network) 585 n-Bit-Wandler 376
Majoritatstragerdichte 64 MIMD (Multiple Instruction n-Halbleiter 60
Makro 524 Multiple Data) 479 Nachkommabit 412
Makrosymbole 541, 546 Minimierung, Gleichungen 435 Nachlauffilter 509
MAN (Metropolitan Area Network) Minoritatstragerdichte 64 Nachrichtencontainer 597
585 MIPS (Million Instructions Per NAND-Gatter 431, 540, 542, 548
Mantisse 412 Second) 479 NCDT (Non Contacting Displace-
MAP (Manufacturing Automation Mischbestiickung 81 ment Transducer) 305
Protocol)-Protokoll 592, 602 missing code 383 NDAC (No Data Accepted) 574
Marke, Sendeberechtigungs- 596 mitgekoppelter Verstarker 367 Nebenstelle, ISDN- 605
Maschenstromanalyse 19, 25 Mitkopplung 215, 334, 344 Negation 557
Maschenregel 10 Mittelpunkt-Schaltung 618 negative Logik 428
Maschinenkode 554 MK-Kondensator 116 - Zahl 410, 432
Mask-ROM 473 MKP-Kondensator 117 Negierung, doppelte 429
Maske 320, 322 MKV-Kondensator 117 NEP (Noise Equivalent Power),
Masse, effektive 58 MMS (Manufacturing Message Speci- Halbleiter-Detektoren 268
Masseebene 505 fication) 600 Netz 568,584
Massekern 131 Mobilfunknetz 392 -, AnpaB- 230
Massenspeicher 471 Modell 7-Schichten-, 588 -, Backbone- 610
Master-Slave-Flip-Flop 513 -, DNA (Digital Network Architec- -, Basisband- 587, 589
Matrix, Speicher- 473, 527 ture)- 593 -, Breitband- 587, 589
-, Verkniipfungs- 527 -, SNA (System Network Architec- -, digitales 603
maximale Dauerspannung 97 ture)- 592 -, elektrisches 9, 22
MCM (Multi Chip Modul) 314 Modulation, Laser 256 -, FDDI 599
MDI (Medium Dependent Interface)- -,LED 248 -, Hochgeschwindigkeits- 610
Schnittstelle 593 -, Polarisation 316 -, landesweites (WAN) 585
Medien, Ubertragungs- 600 -, Pulsbreiten- 625 -, lokales (LAN) 585, 586
Megasamples Per Second (MSPS) -, Wellenlange 316 -, Mobilfunk- 392
391 monohthische Schaltung 320 -, Topologie 586
Mehr-Tor-Speicher 475 Morse-Kode 416 -, weltweites 584
Mehrdrahtverbindung 577 MOS (Metal-Oxide-Semiconductor) -, Zugriffsverfahren 592
Mehrfachgegenkopplung 363, 364 446, 448 Netz-Analysator 54
20 Sachwortverzeichnis 669

Netz-Kopplung 608 ODER-Normalform 430 PD (Phase Detector)-Glied 509, 510,


Netzgleichrichter 141 ODER-Verkniipfung, exklusive 428 513
Netzliste 487, 488 offene Leitung 499 PDS (PLD-Design)-Datei 535, 538
Netzriickwirkung 643 - Leitungslange 506 Pegel 574
Netzteil, Schalt- 612 - Verstarkung 340 -,TTL- 541,573
-, Transformator- 617 -, belastete Leitung 503 Pegelanpassung 449
Netzwerk, logisches 521 -, unbelastete Leitung 503 Pegelumsetzung 461
-, RC- 325 Offline-Programmierung 319 periphere Schnittstelle 379
Netzwerk, Ruckfiihr- 336, 346 Offsetfehler 382 peripherer Baustein 446
Neutron 3 Offsetspannung 188, 325, 329 Peripheriebus 581
NF-Analysator 54 ohmscher Bereich, FET 195 Pfad, Riickwarts- 509, 510
Nibble 407,409 ohmsches Gesetz 7, 37 -, Vorwarts- 509, 510
nicht fehlererkennender Kode 416 oktales Zahlensystem 406 Pfeilung 5, 8
nicht fliichtiger Speicher 470, 473 Online-Programmierung 319 PGA (Pin Grid Array)-Gehause
nicht fluchtiges RAM 473 Open-Emitter-Ausgang 462 470, 542
nicht invertierender Spannungsver- Operand 555 Phanomen, Gibbssches 495
starker 341, 342 Operationen, Klammer- 558 Phase Locked Loop (PLL) 509
nicht invertierender, addierender Operationsverstarker 324, 621 Phasenanschnittsteuerung 237
Verstarker 347 -, Aufbau 325 Phasendrehung 334
nicht monoton (Datenwandler) 382 -, Begiffe 326 Phasengang 361, 400
nicht redundanter Kode 416 -, dynamisch riickgekoppelter 355 Phasenkonzept 486
NICHT-Gatter (Inverter) 426, 428 -, dynamische Beschaltung 354 Phasenregelkreis 509
nichtlineare Riickfuhrung 345 -, idealer 325 Phasenreserve 327
nichtlinearer Verstarker 212, 345 ~, Leistungs- 334 Phasenschnittsteuerung 237
- Widerstand 100, 351 -, realer 325 phasensensitiv 511, 513, 515, 516,
Nichtlinearitat 97, 382 -, Schaltzeichen 325, 328 517
niedrigstwertiges Bit (LSB) 377, 378, -, schneller 324 Phasenvergleicher 509
386 -, statische Beschaltung 337 PHY-Sublayer 599
Nixie-Rohre 260 optische Temperaturmessung 310 physikaHsche Einheit 592
NMOS-PROM 474 optischer Langensensor 306 physikalische GroBe 2
Non-Conducting-Displacement- optochemischer Sensor 318 piezoelektrischer Sensor 308
Transducer (NCDT) 305 Optode 318 piezoresisitiver Sensor 308, 312
NOR-Gatter 431, 540, 542, 548 Optoelektronik 240 Pin 446
NORDUNET 585 Optokoppler 290 pin-Diode 150
Normalform, ODER- 430 Ordnungszahl 517 pin-Fotodiode 276
Normalisierung, binare Gleitkomma- Organisation, Speicher 477 Pin-Transfer 78
zahl 412 Organisationsbaustein (OB) 565 Planartechnik 149, 320
normierte AmpHtude 499 Ortskurve 227 Plasma-Display 260
Normreihe 92 OSI (Open Systems Interconnection)- Platin-Widerstand 309
Notch-Filter 368 Schichtenmodell 589 PLCC (Plastic Leaded Chip Carrier)-
NPB (New Product Birthing) 486 Oszillator, spannungsgesteuerter Gehause 470
NRFD (Not Ready For Data) 574 510, 512, 513, 515, 517 PLD (Programmable Logic Device)
NRZ (No Return to Zero)-Kodie- Oszilloskop 52 521, 522, 527
rung 599 OversampUng 50, 389 PLL (Phase Locked Loop) 509
NSFNET (National Science Founda- PLS-Zwischenschicht 595
tion Network) 585 PMD-Sublayer 599
NTC (Negative Temperature Coeffi- pn-Ubergang 67
cient)-Silicium 309 Poisson-Gleichung 67
-, Widerstand 101, 309 p-Halbleiter 62 Pol, dominierender 401
NTD (Neutron Transmutated PAL (Programmable Array Logic) Pol-Splitting 336
Doped)-Silicium 312 446, 521, 522, 523, 527, 533 Polarisation, Modulation 316
Nullpunktskorrektur 386 Parallel-AD-Wandler 383, 389 Polstelle 398
Nullspannung, Eingangs- 326 Parallel-Rechner 484 Positionssensor 304, 315
numerische Anzeige 263 parallele Ubertragung 570 -, kapazitiver 315
Nutzbit 424 Parallelschaltung, Wechselstromkreis -, magnetischer 307
NV (Non-Volatile)-RAM 475 42 Postdienst 604
Nyquist-Sampling 389 -, Widerstand 11 Potential 5
Parameter, tJbertragungs- 510 Potentialtrennung 613
Paritat 418, 577 Potentiometer 107
O Paritatsbit 418, 421 -, elektronisches 377
passives Bauelement 87 -, Widerstands- 304
OB Organisationsbaustein) 565 PaB, Band- 356, 365 Potentiometerschaltung 15
oberflachenmontierte Bauteile 76 -, Hoch- 356, 364 Prazisionsgleichrichter 349
OCCAM 485 -, Tief- 356, 360 Prazisionsverstarker 333
ODER-Funktion (OR) 427, 428, PB (Programmierbaustein) 565 Primarzelle 614, 615
446, 523, 557 PC-Bus 581 Produkt-Linie, PAL 527
670 20 Sachwortverzeichnis

Produkthaftung 639 -, Langs- 370, 611, 617, 620, 622


Profil, I/O- 549 -, linearer 620
Programm, Steuer- 552 R-2R-Leiternetzwerk 375 -, Shunt- 370,611,618,624
Programmbaustein (PB) 565 radiometrische GroBe 241 -, Spannungs- 370, 371
Programmdarstellung 555 Rahmen 571, 588 -Verlust- 617,618,623,636
programmierbares Gate-Array 544, RAM (Random Access Memory) Reihenschaltung, Wechselstrom-
545 470, 471 kreis 41
programmierbares logisches Bauteil -, Dual-Port- 475 -, Widerstand 10
527 -, nicht fliichtiges 473 Rekombination 64
Programmiereinrichtung 565 -Shadow- 476 Remanenz 129
Programmiergerat 552, 565 -, statisches 471 REN (remote Enable) 574
Programmierung, Offline- 319 Raumladungszone 67, 69 Repeater 607
-, Online- 319 Raumwinkel 242 Reset 573
-, strukturierte 565 rauschaquivalente Leistung, Halb- Resistanz 38
Programmspeicher 554 leiter-Detektoren 268 Resonanz 41, 42
PROM (Programmable Read Only Rauschdichte 327 Resonanzfrequenz 366
Memory) 473 Rauschen 98 Resonanzwandler 611, 634
PROM, Boot- 544 -, mehrstufiger Verstarker 225 Reststrom 324
propagation delay 447, 459, 545 -, Quantisierungs- 389, 392 -, Sperrschicht-FET 200
Proportionalglied 396 -, Sperrschicht-FET 199 -, Transistor 165
Protokoll 577 -, Transistor- 163 reverse recovery 142, 626
-,ACSE- 602 Rauschfaktor 225 Ringkernspule 133
-, DDCMP- 593 Rauschparameter 224 RISC (Reduced Instruction Set
-, ETX/ACK (End of Text/Acknowl- Rauschspannungsabstand 453, 456, Computer)-Rechner 481
edge) 577 458 RMS (Root Mean Square) 141
-,FTAM- 602 Rauschspektrum 389 ROM (Read Only Memory) 470,
-,LAP- 604 RC 574, 579 473
-, MAP- 592, 600 RC-Glied 360 ROM, Mask- 473
-, MHS- 600 RC-Netzwerk 325 Router 602, 606
-, TCP/IP- 585 Reaktanz 38 Routing 588
-, TOP- 592, 600 Reaktionszeit 554 RS-Flip-Flop 515
-, XON/XOFF- 577, 588 realer Operations verstarker 325 RS-Speicher-Flip-Flop 560
ProtokoUfamilie 588 Realteil 32 RS232/E 575
Proton 3 Rechenkern 479 RTS 574, 575
ProzeBabbild 554 Rechner, CISC- 479,484 RuckfluBdampfung 220
ProzeBbus 585 -, Knoten- 484 Riickfiihrnetzwerk 336, 346
ProzeBsignal 554 -, Parallel- 484 Riickfiihrung, Gleichstrom- 357
Priifbit 421 - R I S C - 482 -, nichtlineare 345
Priifplan 92 Rechnerbus 581 Riickfiihrwiderstand 357, 362
PTC (Positive Temperature Coeffi- Rechnersysteme, verteilte 484 riickgekoppelter Operationsverstar-
cient)-Widerstand 104, 309 Rechnung, komplexe 30, 36 ker, dynamisch 355
Pulsbreitenmodulation 625 redundanter Kode 416, 421 Ruckkopplung 214, 334, 335, 336
Pulsfahrplan 490 redundantes Bauteil 506 rucklaufige Kennlinie 621
Pulsrahmentechnik 599 Redundanz 421 Riickmeldung 488
Pumpe, Ladungs- 620 Reemission 316, 318 Riicksetzen 560
push pull converter 632 Referenzelement, Bandgap- 369, Riicksetzleitung 573
PVD-Technik 314 371, 372 Ruckwartspfad 509, 510
Pyrometer 310, 316 Referenzfrequenz 517 Ruckweisegrenze 91
Referenzsignal 510 Riickwirkung, Netz- 643
Referenzspannung 370, 371, 374, Ruheleistungsaufnahme 447
375 Ruhestrom 332
reflektierte Spannung 496
QPSX/DQDB (Queued Packed and Reflexion 316, 318
Synchronous Switch/Distributed - , H F - 218
Queue)-Konzept 599 -, Leitungs- 494, 495
Qualitat, Herstellgrenz- 91 Reflexions-Sensor 316 S-Parameter 222
Qualitatssicherung 91, 304 Reflexionsfaktor 219, 227 SA-(Stack-At)-Fehler 539
Quanten-Hall-Effekt 5 Reflexionskoeffizient 496 Sample and Hold 387, 388
Quantisierungsfehler 382 Reflow-Loten 79 Sampling, Nyquist- 389
Quantisierungsrauschen 389, 392 Regelkreis 335, 337 SAP (Service Access Point) 588
Quelle 194 Regelung, stabile 398 Sattigungsinduktion 129, 632
-, Energie- 612 Regelungstechnik 393, 636 Satz, Befehls- 555
-, Spannungs- 612 Regenerator 605 Sauerstoff-Sensor 317
Quellenwiderstand 496 Register, Latch- 379 SBC (Single Board Computer) 481
Querwiderstand 376 registergesteuerter Ausgang 531 Scattering Parameter 222
Quittierungssignal 572 Regler, Festspannungs- 623 s e c (Single Chip Computer) 481
20 Sachwortverzeichnis 671

Schaltalgebra 426, 429 Schnittstelle 568, 571 -, Reflexions- 316


Schaltdiode 135 -, analoge 379, 406 -, Sauerstoff- 317
Schaltelement, ladungsgekoppelter -, APPC (Advanced Program to -, Strahlungs- 314
(CCD) 313 Program Communication)- 593 -, Stromungs- 315
Schalter, Schwellwert- 344 -, Bus- 480 -, Temperatur- 308, 312
Schaltflanke 494, 503 -, Daten- 488 -, Weg- 304
Schaltgeschwindigkeit, digitales Bau- -, digitale 379, 406 Sensor-Array 312
element 493 -, MDI (Medium Dependent Inter- serielle Ubertragung 570
Schalthysterese 344, 345 face)- 595 serieller Bus 582
Schaltkreis, hybrider 446 -, MIC (Medium Interface Cable)- Setzen 560 -^
-, integrierter 446 595 Shadow-RAM 476
Schaltnetzteil 612 -, Mikrorechner- 379, 387 Shannonsches Abtasttheorem 49
Schaltplanentwicklung 487 -, periphere 379 Shuntregler 370,611,618,624
Schaltstromspitze 457 -, Stromschleifen- 580 sicherer Arbeitsbereich 630
Schaltung, Abtast- und Halte- 387, -, Ubergabe- 588 Sicherheit 640
388 -, X.- 580 -, Stor- 453
-, analoge, integrierte 320 Schnittstelleneinheit 479 Sicherung 527
-, Delogarithmier- 339, 353 Schottky-Diode 138 -, Kode- 421
-, Delon- 619 Schottky-Leistungsdiode 144 Sicherungsschicht 589
-, FET 202 Schreibverfahren, Elektronenstrahl- Siebdruck 79
-, Filter- 335, 360 Direkt- 549, 550 Sieben-Schichten-Modell 588
-, gedruckte 72 Schrittmerker 565 Siebensegment-Anzeige 263
-, Gleichrichter- 619 Schrodingergleichung 56 Signal, Achtung- 576
-, Graetz- 619 Schutzdiode 460 -, Auftakt- 574
-, Logarithmier- 339, 351 Schwellwertschalter 344 -, Chip-Select- 444
-, Mittelpunkt- 618 Schwingneigung, Verstarker- 231 -, Eingang- 552
-, monolithische 320 Schwingung 333 -, Ende- 574
-, Stabilisierungs- 618 SCR (Silicon Controlled Rectifier)- -, ProzeB- 552
-, statische 337 Thyristor 233 -, Quittierungs- 574
-, Subtraktions- 342 SDLC (Sychronous Data Link -, Referenz- 510
-, Villard- 619 Control)-Protokoll 588 -, Summen- 530
-, zeitkritische 493 Sea of Gates (See von Gattern) 540, -, Warte- 574
Schaltungsentwicklung 432 541 Signalflanke 560
Schaltungssynthese 434 Sechzehnsegment-Anzeige 265 Signalfrequenz 49
Schaltungstechnik, digitale 404 Sekundarelement 612 Signalleitung, abgeschlossene 462
Schaltverzogerung 494 sekundarer Durchbruch 167 Silicium-Technik 312
Schaltzeichen, digitales Bauelement Selbsterregung 334, 337, 367 Silicium-Widerstand 103
463 selbstheilender Kondensator 116 Silicon Compiler 548
-, Flop 466 selektives Filter 365 SIMD (Single Instruction Multiple
-, Gatterfunktionen 464, 465 Sendeberechtigungsmarke 596 Data) 479
-, MSI-Baustein 466 Sendebereitschaft 576 Simplex-Betrieb 569
-, Operationsverstarker 325, 328 Sender, Halbleiter- 244 Simulation 488, 533
-, Zahler 466 sensitiv, frequenz- 513, 516, 517, Single-Chip-Mikrocomputer 480
Schaltzeit 447, 459 518 Skalenfaktor 347
-, Gatter- 540 sensitiv, phasen- 511, 513, 515, 516, Slotted-Ring 597
-, Transistor 166 518 SMD (Surface Mounted Device)-Bau-
Scheibenwicklung 132 Sensor 298 teil 77
ScheingroBe 37 -, Beschleunigungs- 312 SMD-Verbindungstechnik 78
Scheitelfaktor 36 -, Bild- 286 Smith-Diagramm 226, 228
Schicht, Anwendungs- 588, 590 -, chemischer 313, 317 SMT (Surface Mounted Technology)
-, Bitiibertragungs- 589 -, Dickschicht- 317 76
-, Darstellungs- 589, 590 -, Druck- 308, 312 SNA (Systems Network Architec-
-, Kommunkationssteuerungs- -, elektrochemischer 317 ture)-Modell 586, 592
589, 591 -, faseroptischer 316 SOAR (Safe Operating Area) 630
-, PLS- 595 -, Feuchte- 314 Software-Baustein 565
-, Sicherungs- 589 -,Foto- 313,315 Software-Handshake 571
-, Transport- 588, 589, 591 -,Gas- 317 Solarzelle 279
-, Verarbeitungs- 590, 592 - H a l l - 306 Solenoid 131
-, Vermittlungs- 587, 588, 595 -, intelligenter 319 Soll-Ist-Abweichung 393
Schichtenmodell, OSI- 589 -, Kohlenmonoxid 317 Sollwert 394
Schichtwiderstand 100 -, Kraft- 308, 312 Sonde, Lambda- 317
Schiedsrichter 475 -, magnetoresistiver 312 Sourceschaltung, FET 203
Schleusenspannung 70 -, optochemischer 318 Spannung 3
Schmitt-Trigger 338, 344, 370 -, piezoelektrischer 308 -, Bandlucken- 371, 374
schnelle Gleichrichterdiode 143 -, piezoresistiver 308, 312 -, Basis- 332
schneller Operationsverstarker 324 -, Positions- 304, 315 -, Bias- 463
672 20 Sachwortverzeichnis

Spannung speicherprogrammierbare Steuerung -, speicherprogrammierbare 552


-, Diagonal- 343 552 -, Ubertragung- 571
-, Differenzeingangs- 326 Speisespannung 326 -, verbindungsprogrammierte 552
-, Diffusions- 373 Spektrum, Rausch- 389 Steuerwerk 554
-, Eingangs- 326 Spektrumanalysator 54 Steuerzeichen 572, 573
-, Eingangsfehl- 325, 329, 333 Sperr-Erholzeit 142, 626 Stopbit 570
-, Eingangsnull- 326 Sperrbereich 232 Storaussendung 613
-,Hilfs- 463 Sperrsattigungsstrom 69, 70 Storfestigkeit 72, 613
-, Offset- 188, 325, 385 Sperrschicht-FET 198 storfreier Entwurf 506
-, Referenz- 370, 371, 374, 375 Sperrschicht-Kondensator 124 Storquelle 325
-, reflektierte 496 Sperrschichtkapazitat, Transistor Storsicherheit 453
-, Speise- 326 165 Storspannungsabstand 453, 458,
-, Temperatur- 352, 372, 68 Sperrschichttemperatur 326 459, 498
-, Versorgungs- 611, 612, 613, 622 Sperrspannung, Transistor 166 Storstellenleitung 60
-Wechsel- 34 Sperrstrom 69 Storung, Funk- 613, 640
Spannungs-Vervielfacher 619 Sperrverlustleistung 142 Storunterdriickung, AD-Wandler
spannungsabhangiger Widerstand Sperrverzogerungszeit (Diode) 138 385
104 Sperrwandler 626, 632, 633 Strahlstarke 241
Spannungsdoppelbrechung 316 Spezifikation 486 Strahlungs-Sensor 314
Spannungsfestigkeit 458 -, Detail- 486 Strahlungsemission, Halbleiter 244
Spannungsgegenkopplung 180, 336 -, System- 486 Strahlungsenergie 241
spannungsgekoppelte Verstarker- spezifische Ausstrahlung 241 strahlungsinduzierte Lumineszenz
stufe 325 spezifischer elektrischer Wider- 316
spannungsgesteuerter Oszillator 508, stand 6 Strahlungsleistung 241
510,511, 513, 515 Spitzenwert-Gleichrichter 339, 351 Streifenleiter 75, 502, 504
Spannungsquelle 612 Splitting, Pol- 336 -, Triplate- 502, 504
-, Ersatz- 20 Sprungantwort 396 Streu-Parameter 222
Spannungsregler 370, 371 SPS (speicherprogrammierbare Steue- Streukapazitat 458
- F e s t - 623 rung) 552 Streuung, Exemplar- 347
-, integrierter 369, 370, 622 Sputter-Technik 314 strip hne 75
Spannungsriickwirkung, FET 199 SRAM (Static Random Access Strobe 369, 572
Spannungsriickwirkung, Transistor Memory) 544 Strom 3
162 SRQ (Service Request) 574 -,Ableit- 613
Spannungsstabilisator 369 SSI (Small Scale Integration)- -, AusgangskurzschluB- 327, 330
Spannungsteiler 376 Bauteil 446 -, Drain- 457
-, steuerbarer, FET 205 stabile Regelung 398 -, Drossel- 626, 625, 627, 628, 630,
Spannungs verdoppler 619 Stabihsator, Spannungs- 369 632
Spannungsversorgungsebene 505 Stabilisierungs-Schaltung 618 -, Eingangsnull- 326
Spannungsverstarker, invertierender Stabilitat 98, 333, 334 -, Ruhe- 332
340, 341 Stabilitatsbedingung 398, 400 -, Transistor- 166
-, nicht invertierender 341, 342 Stabilitatsbetrachtung 334 -, Wechsel- 34
Spannungsverstarkung 327 Stack 479 Strom-Spannungs-Kennlinie 69
Spannungswandler 612 Stack-At-Fehler 539 -, Wandler 342
Speed-Power-Product 448 Standard-Zellen-Array 521, 523, 547 Stromaufnahme 327
Speicher 446, 468 standardisierter ASIC 550 Stromgegenkopplung 175, 176
-, batteriegepufferter 475 Standardverstarker 330 Stromlaufplan 531, 555,
-, dynamische 468 Stapelspeicher 479 Stromquelle 185
-,Flip-Flop- 471 Startbit 570 -, Ersatz- 21
-, fliichtiger 470 statische Schaltung 337 -, Konstantstrom- 339
-, hybrider 476 statischer RAM 471 -, nach Widlar 371
-, Massen- 471 Status-Ausgang 613 Stromschleife 613
-,Mehr-Tor- 475 Stehwellenverhaltnis 220 Stromschleifenschnittstelle 580
-, nicht fliichtige 470, 471 Steilheit, Flanken- 360, 494 Stromspiegel 331
-, Organisation 477 Stellglied 370 Stromspitze, Schalt- 457
-, Programm- 552 Stempelloten 80 Stromungs-Sensor 315
-, Stapel- 479 Step-Recovery-Diode 143, 153 Strom versorgung 611
-, statische 468 STEP5 555 -, getaktet 625
-, Zwei-Tor- 475 Stemkoppler 607 Stromversorgungsleitung 573, 575
Speicher-Bauelemente 468 steuerbare Spannungsteiler, FET Stromverstarkung, differentielle 161
Speicher-Mikroprozessor 446 205 -, Transistor 160
Speicherdienst 606 Steuerleitung 572, 573, 574, 575 strukturierte Programmierung 565
Speicherdrossel 611, 626, 631, 632 Steuerlogik 472, 474 STTL (Shottky TTL) 446, 448, 452
Speicher-Flip-Flop, RS- 560 Steuerprogramm 552 Sublayer, PHY (Physical)- 599
Speicherfunktion 560 Steuerung 393 -, PMD (Physical Medium
Speichermatrix 473, 527 -, Ablauf- 563 Dependent)- 599
speichern 560 -, Phasenanschnitt- 237 Substrat 322
20 Sachwortverzeichnis 673

Subtrahierverstarker 185, 189, 328, Test, PLD (Programmable Logic -, HF-, Eingangswiderstand 221
329, 330, 336, 338, 342 Device) 539 -, Kaskodeschaltung 192
Subtraktionsschaltung 342, 358 Testvektor 539 -, Kollektorschaltung 181, 330
sukzessive Approximation, Text Mail 606 -, MOS 323
AD-Wandler 383, 386 thermoelektrischer Effekt 309 -, Multiemitter- 450
Summensignal 530 Thermoelement 309 -, Reststrom 165
Super-j5-Transistoren 333 Thermokette 314 -, Schaltzeit 166
Suppressor-Diode 146 Thermolumineszenz 316 -, Spannungsruckwirkung 162
Surface-Mounted-Technology Thermometer, Widerstands- 309 -, Sperrschichtkapazitat 165
(SMT) 76 -, Strahlungs- 311 -, Sperrspannung 166
Suszeptanz 38 - -Kode 389 -, Strom 166
Symbol, Block- 555 Thermowiderstand 315 -, Stromverstarkung 160
-, Funktionsplan- 565 Thyristor 232 -,Super-i?- 333
Symbol, Makro- 541, 548 Thyristor, Abschalt- 233 -, Temperatur 167
Synchron-Gleichrichter 625 -, Foto- 286 -, Transitfrequenz 166
synchrone Ubertragung 599 -, GTO (Gate Turn Off)- 233, 238 -, Verlustleistung 167
synchrones Datenformat 570 -, IGTB (Insulated Gate Bipolar)- Transistor-Rauschen 163
Synchronisierung 570, 599 233, 239 Transitfrequenz 331
Synthese, Schaltungs- 434 -, SCR (Silicon Controlled Rectifier)- -, Transistor 166
System, flankengetriggertes 506 233 Transportschicht 588, 589
Systemspezifikation 486 TiefpaB 325, 334, 335, 356, 360, 517 Transputer 483
-, Einfachmitkopplung 363 Trennung, Potential- 613, 630
-, Mehrfachgegenkopplung 362 Tri-State-Ausgang 460, 521, 542
-, T-Glied 362 Tri-State-Ausgangsregister 387
T-Filter, Doppel- 368 TiefpaBfilter 50, 509 Triac 233
T-Glied, TiefpaB-362 Tiefsetzsteller 611, 626, 628, 633 Tribolumineszenz 316
Tabelle, Look-Up- 543, 546 Timer 561 Trigger, Schmitt- 338, 344, 370
Tabelle, tJbergangs- 534 Toggle-Frequenz, FF- 447 Triggerdiode, Triac- 146
Takt-Baum 518 Token-Bus 596 Triodenbereich, FET 196
Tantal-Elko 121 Token-passing 596 Triplate-Streifenleiter 502, 504
Tastfrequenz 49 Token-Ring 597 TTL (Transistor-Transistor-Logik)
Tastverhaltnis 46, 512, 626, 627, Toleranz 92 446, 448, 450, 543
629, 633, 634, 635 TOP (Technical and Office-Protocol)- TTL-Pegel 543, 573
TC (Transmitted Signal Element Protokoll 592, 602 Tunneldiode 154
Timing) 576, 579 Topologie, Netz- 586 Typenschliissel, Halbleiter- 168
TCP/IP (Transport Control Protocol/ Tor 194
Internet Protocol)-Protokoll 585 Toroid 133
Technik, CMOS- 377 Totem-pole-Ausgang 452 U
-, CVD (Chemical Vapour Deposi- Tragerdichte, intrinsische 373
tion)- 314 Transceiver 599 tibergabeschnittstelle 588
-, Dickschicht- 315 Transduktor 611, 632 Ubergangstabelle 534
-, Dunnfilm- 314 Transfercharakteristik 456, 459 Uberkopplung 500
-, Hochvakuumverdampfen 314 Transformator 611 Uberlagerung, Uneare 21
-, Hybrid- 379 -, Netzteil 617 iJberschuBdichte 65
-, Kathodenzerstaubungs- 314 -, Wicklung 616, 628, 629 Ubertrag 409
-, Pulsrahmen- 599 Transistor 156, 351 Ubertragung 571
-, PVD (Physical Vapour Deposi- -, Arbeitsbereich 167 -, asynchrone 599
tion)- 314 -, Arbeitspunkt 177 -, parallele 570
-, Sputter- 314 -, Ausgangsleitwert 162 -, serielle 570
Teilentladung 641 -, Basisschaltung 183 -, synchrone 599
Telegraphen-Kode 417 -, Beschaltung 158 Ubertragungsfunktion 338, 339,
Telegraphengleichung 495 -, Betriebsbereich 158 340, 343, 346, 348, 355, 369, 396,
Temperatur, Abgleich- 329 -, Bootstrapschaltung 182 511, 517
-, Lager- 326 -, Darlington- 329, 332 -, Regelung 395
-, Sperrschicht- 326 -, Datenblatt 168 Ubertragungsgeschwindigkeit 577
-, Transistor- 167 -, Differenz- 462 Ubertragungskennlinie 456
-, Umgebungs- 326 -, Doppel- 352, 354 Ubertragungsmedium 596
Temperatur-Sensor 308, 312 -, Eingangswiderstand 159 Ubertragungsparameter 510
Temperaturabhangigkeit 98 -, Emitterschaltung 173 Ubertragungsrate 588
Temperaturkoeffizient 6, 112 -, Endstufen- 330 Ubertragungssteuerung 571
-,LED 248 -, Ersatzschaltbild 159 Ubertragungssteuerzeichen 571
Temperaturmessung, Diinnschicht 314 - F E T - 194 Ubertragungsverfahren, bitorien-
-, optische 310 -, Foto- 284 tiertes 588
Temperaturspannung 68, 352, 372 -, Gleichstromverstarkung 159, 161 -, zeichenorientiertes 588
Temperaturverhalten, Sperrschicht- ~, /i-Parameter 163 ULSI (Ultra Large Scale Integration)-
FET 201 -, HF-, Ausgangswiderstand 221 Bauteil 446
674 20 Sachwortverzeichnis

Ultraschall 306 -, Briicken- 342 Wahrheitstabelle 427


Umgebungstemperatur 326 -, Differenz- 185, 328, 329, 330, 336, Walking-Kode 422
Umkodierung 592 338, 342 WAN 585
Umschalter, MOSFET- 377 -, Doppel- 330 Wandler AD- 298, 375, 383
Umschaltpunkt 345 -, Elektrometer- 342, 385 -, AD-, integrierender 383, 384
Umschaltzeichen 417, 418 -, Exponential- 353 -, Analog-Digital- 375, 383
Umsetzbauteil 449 -,Fehler- 370 -, BCD-Gray-Kode- 443
Umwandlung, aquivalente 43 -, gegengekoppelter 215 -, DA- 375
unbelastete, offene Leitung 503 - , H F - 218 -, Daten- 379
UND-Funktion 426,523 -, hohe Frequenzen 191 -, Delta-Sigma- 383, 392
UND-Gatter (AND) 428, 446, 450, -, Instrumenten- 343 -, Digital-Analog- 320, 375
523, 531 -, linearer 212 -, DurchfluB- 229, 611, 626, 628,
Universalbefehl 573 -, MeB- 343 631, 632, 637
Unstetigkeit 383 -, mitgekoppelter 367 -, FluB- 626, 628, 637
Unterdriickung, Betriebsspannung -, nichtlinearer 212, 345 -, Gegentakt- 631, 632
327 -, Operations- 619 -, Impedanz- 342, 369
-, Gleichtakt- 187, 327, 332, 334 -, Prazisions- 333 -, n-Bit- 376
UP-Ausgang 515, 517 -, Schwingneigung 231 -, Parallel-AD- 383, 389
USENET 585 -, Standard- 330 -, Resonanz- 611, 634
Verstarkerstufe 328 -, Spannungs- 612
-, spannungsgekoppelte 325 -, Sperr- 611,630, 633
Verstarkung 46 -, Strom-Spannungs- 342
V.24-Schnittstelle 572, 575 -, offene 340 Warmeiibertragung 638
Vakuum-Fluoreszenz-Display 260 -, Spannungs- 327 Warteschlange, Befehls- 479
Valenzband 56 Verstarkungs-Bandbreite 191 Wartesignal 574
Varactordiode 149 Verstarkungs-Bandbreite-Produkt Wechselspannung 34
Varistor 104 327 Wechselstrom 34
VBD (Vorzeichen-Betrags-Darstel- Verstarkungsabfall 334 Wechselstromkreis, Bauelement 39
lung) 410, 432 Verstarkungsfehler 382 -, Parallelschaltung 42
VCO (Voltage Controlled Oscillator) verteilte Rechnersysteme 484 -, Reihenschaltung 41
510, 512, 513, 515, 517 Vertraglichkeit, elektromagnetische Wechselstromlehre 30
VCS (Voltage Circuit Service)- 613, 642 Wegfmdung 588
Dienst 588 Vervielfacher, Spannungs- 619 Wegsensor 304
VDR (Voltage Dependent Resistor) Verzogerung, Schalt- 494 Weiterschaltbedingung 563
104, 346 Verzogerungsglied 335, 396 Wellenlange, Modulation 316
Verarbeitungsschicht 592 Verzogerungsglied 396 Wellenloten 79
Verbindung, Mehrdraht- 577 VFD (Vakuum Fluoreszenz Wellenwiderstand 502
-, Zweidraht- 577 Display) 260 Wellenwiderstand, HF- 220
Verbindungshalbleiter 55 Vier-Quadranten multiplizierender Wertigkeit 409
verbindungsprogrammierte Steue- DA-Wandler 378 Wheatstonesche Brucke 14, 343
rung 552 Vierschichtdiode 232 Wicklung 616
Verbindungstechnik, SMD- 78 Villard-Schaltung 619 Wicklungskapazitat 132
verbotene Zone 56 VLSI (Very Large Scale Integration)- Wicklungssinn 616, 628
Verdoppler, Spannungs- 619 Bauteil 446, 541 Widerstand 5, 94
verdrillte Leitung 502 Voice Mail 606 -, AbschluB- 496
Verfahren, Wage- 387 Voll-Duplex 484 -, Arbeits- 332
Vergleicher, Phasen- 509 Vollbriicke 619 -, Ausgangs- 496
Verkabelung 609, 610 Volldisjunktion 428 -, differentieller 8
Verkniipfung, binare 426 Vollkonjunktion 426, 430 -, Draht- 97
-, Boolesche 428, 429, 531 Vollkundenschaltkreis 521, 524 -, Eingangs- 326
Verkniipfungselement 531 Vollweg-Gleichrichter 618 -, Einkoppel- 506
Verkniipfungsmatrix 527 Vorkommabit 412 -, einstellbarer 107
Verlustleistung, Sperr- 142 Vorkommastelle 411 - F o t o - 268,315
-, Transistor 167 Vorrat, Befehls- 552, 555 -, Isolations-, 112
Verlustregler 618, 623, 636 Vorwartserholzeit 144 -, komplexer 37
Vermittlungsschicht 587, 595 Vorwartsmeldung 488 -, Langs- 376, 506
Vermittlungssystem 605 Vorwartspfad 509, 510 -, magnetfeldabhangiger 106
Versatz 382 Vorzeichen-Betrags-Darstellung 410 -, magnetischer 312
VerschleiBausfall 90 Vorzeichenbit 410 -, Metallglasur- 100
Versorgungsebene, Spannungs- 505 VSWR (Voltage Standing Wave -, nichtlinearer 100, 351
Versorgungsspannung 611, 612, 613, Ratio) 220 -, NTC 101
622 -, PTC 104
Verstarker, nichtlinearer 212, 345 W -, Quellen- 496
Verstarker 298 -, Quer- 376
-, Abtast- und Halte- 388 Wafer 320 -, Riickfiihr- 357, 362
-, addierender 347 Wageverfahren 386, 387 -, Schaltung 10
20 Sachwortverzeichnis 675

Widerstand Zeitverhalten 65, 506


-, Schicht- 100 Zener-Diode 17, 145, 371, 620
-, Silicium- 103 r-Parameter, FET 199 Zener-Effekt 70, 145
-, spannungsabhangiger 104 Ziehbereich 513
-, spezifischer 6 Zinkblendestruktur 55
-, Thermo- 315 ZK (Zweierkomplement) 410
-, VDR 104 Z (Zener)-Diode 17, 145, 620 ZKD (Zweierkomplement-Darstel-
-, Wellen- 502 Zahl, Binar- 405 lung) 432
Widerstandspotentiometer 304 -, Dual- 405 Zone, verbotene 56
Widerstandsverhaltnis 377, 378, 386 -, Hexadezimal- 405 Zufallsausfall 90
Widerstandsthermometer 309 -, negative 410 Zugriffsverfahren, Netz 592
Widerstandswert, kritischer 98 -,Oktal- 405 Zugriffszeit 471
Widlar 371 Zahlensystem 31, 404 Ziindverzogerungswinkel 237
Wien-Glied 45 - B C D - 409 Zustandsdiagramm 531, 534
Wirbelstrom, Langenmessung 304 -, duales 405 Zuverlassigkeit 88
Wirbelstromverlust 128 -, hexadezimales 406 Zwei-aus-funf-Kode 422
WirkgroBe 37 -, oktales 406 Zwei-Tor-Speicher 475
Wirkungsgrad 7, 619, 618, 623, 631, Zahler 52, 410, 446, 562 Zwei-Transistor-FluBwandler 630
638 - B C D - 386 Zweidrahtverbindung 577
Word, Double 405 -, Schaltzeichen 466 Zweierkomplement 409, 410
Word, Long 405 Zahlerfunktionsglieder 562 Zweifarben-Pyrometer
Wortleitung 472 Zeichen, Umschalt- 417, 418 Zweipol, aquivalenter 21
zeichenorientiertes Ubertragungs- -, linearer 19
verfahren 588 Zweirampenverfahren 385, 386
Zeit, Schalt- 447, 459 Zweistufiger Parallel-AD-Wandler
-, Zugriffs- 471 390
Zeitgeber 553, 561 Zweiweg-Gleichrichter 339, 349, 350
Zeitkonstante 112 Zwischenschicht, PLS- 595
X.-Schnittstelle 580 zeitkritische Schaltung 493 Zyklusdauer 554
XON/XOFF-Protokoll 577, 588 Zeitmultiplex 587, 599 Zylinderwicklung 131

Das könnte Ihnen auch gefallen